Technical Sessions

Image

-

Naoki Hasegawa
Softbank Corp
Marco Dionigi
Univ. of Perugia
Location
143ABC
Abstract

The session focuses on advances in wireless power-beaming systems. The session will commence with a keynote talk on wireless power beaming. Following this, the session shows the latest advancements in wireless power beaming, including phased-array transceivers, multi-beam wireless energy harvesting arrays at 24 GHz, beam scanning solutions in a Huygens box at 1 GHz, and a system equipped with an integrated metamaterial-inspired absorber at 50 and 150 MHz.

Tu1A-1: KEYNOTE: Power Beaming
Paul Jaffe
Defense Advanced Research Projects Agency
(08:00 - 08:20)
Abstract
Tu1A-2: A 256-Elements Phased-Array Relay Transceiver for 5G Network Using 24GHz Wireless Power Transfer with Discrete ICs
Michihiro Ide, Keito Yuasa, Sena Kato, Takashi Tomura, Kenichi Okada, Atsushi Shirane
Tokyo Institute of Technology, Tokyo Institute of Technology, Tokyo Institute of Technology, Tokyo Institute of Technology, Tokyo Institute of Technology, Tokyo Institute of Technology
(08:20 - 08:40)
Abstract
Tu1A-3: A 24-GHz 4-Element Multi-Beam Wireless Energy Harvesting Array with Class-F Rectifiers Achieving 51.5% PCE
Mohsen Ghorbanpoor, Erwan Le Roux, Amir Mohsen Ahmadi Najafabadi, Oleksandr Vorobyov, Pascal Nussbaum, Hua Wang
Eidgenössische Technische Hochschule Zürich, Centre Suisse d'Electronique et Microtechnique SA, Centre Suisse d'Electronique et Microtechnique SA, Centre Suisse d'Electronique et Microtechnique SA, Centre Suisse d'Electronique et Microtechnique SA, Eidgenössische Technische Hochschule Zürich
(08:40 - 09:00)
Abstract
Tu1A-4: Subwavelength-Scale 2D Superoscillatory Beam Scanning in Huygens' Box for Wireless Power Delivery
Mohammad Abdolrazzaghi, Roman Genov, George Eleftheriades
Univ. of Toronto, Univ. of Toronto, Univ. of Toronto
(09:00 - 09:20)
Abstract
Tu1A-5: Improvement of Data Rate of SWIPT System in Phantom by Integrated Metamaterial-Inspired Absorber for Biomedical Applications
Xin Jiang, Ramesh Pokharel, Adel Barakat
Kyushu Univ., Kyushu Univ., Kyushu Univ.
(09:20 - 09:40)
Tarek Djerafi
Institut National de la Recherche Scientifique
Mohamed Fahmi
Defence Research and Development Canada
Location
145AB
Abstract

In this session you will see the latest advances in non-planar passive components in several technologies, including power dividers and combiners, frequency selective surfaces and sensors.

Abstract
Tu1B-1: Exploiting the Coupling Variation of 3D-Printed Cavity Filters for Complex Dielectric Permittivity Sensing
Benjamin Allain, Nicolo' Delmonte, Lorenzo Silvestri, Stefania Marconi, Gianluca Alaimo, Ferdinando Auricchio, Maurizio Bozzi
Télécom Saint-Étienne, Univ. of Pavia, Univ. of Pavia, Univ. of Pavia, Univ. of Pavia, Univ. of Pavia, Univ. of Pavia
(08:00 - 08:20)
Abstract
Tu1B-2: A Ka-Band RWG Gysel Power Divider and Combiner Based-on Fixed Characteristic Impedance and Resistor-Free Loaded Ports
Ahmed Moulay, Abdelkader Zerfaine, Tarek Djerafi
Institut National de la Recherche Scientifique, Institut National de la Recherche Scientifique, Institut National de la Recherche Scientifique
(08:20 - 08:40)
Abstract
Tu1B-3: 3-D Centrally-Loaded FSS Leveraging Conductive and Dielectric Multimaterial Additive Manufacturing for Broadband Performance
Xiaojing Lv, Zhen Luo, Yang Yang
Univ. of Technology Sydney, Univ. of Technology Sydney, Univ. of Technology Sydney
(08:40 - 09:00)
Abstract
Tu1B-4: 10-Gbit/s Close Proximity Communication in 120 GHz band Sheet LAN using Dielectric Sheet as Transmission Medium
Akihiko Hirata, Yuto Komori, Takumi Nishihara, Yuma Kawamoto, Tadao Nagatsuma
Chiba Institute of Technology, Chiba Insititute of Technology, Chiba Institute of Technology, Chiba Insitute of Technology, Chiba Institute of Technology
(09:00 - 09:20)
Abstract
Tu1B-5: Research and Development of WRD600: Innovations in High-Power Double Ridge Waveguide Combiners for Ultra-Wideband Applications
Mohamed Mamdouh M. Ali, Mostafa Shady, Mahmoud Elsaadany, Shoukry I. Shams, Ghyslain Gagnon, Ke Wu
Scientific Microwave Corp., Scientific Microwave Corporation, Ecole de technologie superieure, Concordia Univ., Ecole de technologie superieure, Polytechnique Montreal
(09:20 - 09:30)
Ruochen Lu
Univ. of Texas at Austin
Tejinder Singh
Dell Technologies
Location
146A
Abstract

In this session, we will discuss the recent advances in microwave devices using magnetostatic, ferroelectric, and phase change materials. The innovation in tunable and reconfigurable devices, enabled by advanced design, microfabrication, and measurement technologies, will be presented. The comprehensive discussion encompasses a spectrum of applications, including wideband switches, acoustic switches, temperature-compensated magnetostatic resonators, and tunable microwave filters. Join us to unravel the intricacies of these cutting-edge devices and witness how they are reshaping the landscape of microwave engineering with their diverse functionalities and applications in modern communication systems.

Abstract
Tu1C-1: Temperature Compensated Magnetostatic Wave Resonator Microsystem
Renyuan Wang, Connor Devitt, Eric Langlois, Sudhanshu Tiwari, Anuj Ashok, Sunil Bhave
BAE Systems, Purdue Univ., BAE Systems, Purdue Univ., Purdue Univ., Purdue Univ.
(08:00 - 08:20)
Abstract
Tu1C-2: A Novel Wideband RF Turbo Switch using Phase-Change-Material in a SiGe BiCMOS Process
Farooq Amin, Thomas Beglin, Nicholas Edwards, Nabil El-Hinnawy, Greg Slovin, David Howard, Doyle Nichols, Robert Young
Northrop Grumman Corp., Northrop Grumman Corp., Northrop Grumman Corp., Tower Partners Semiconductor Company, Tower Partners Semiconductor Company, Tower Partners Semiconductor Company, Northrop Grumman Corp., Northrop Grumman Corp.
(08:20 - 08:40)
Abstract
Tu1C-3: SPST Acoustic Switch Based on Poled Ferroelectrics
Hersh Desai, Milad Koohi, Amir Mortazawi
Univ. of Michigan, Univ. of Michigan, Univ. of Michigan
(08:40 - 09:00)
Abstract
Tu1C-4: Meander Line Transducer Empowered Low-Loss Tunable Magnetostatic Wave Filters with Zero Static Power Consumption
Xingyu Du, Shun Yao, Yixiao Ding, Zhehao Yu, Alexander Geers, Firooz Aflatouni, Mark Allen, Roy Olsson III
Univ. of Pennsylvania, Univ. of Pennsylvania, Univ. of Pennsylvania, Univ. of Pennsylvania, Univ. of Pennsylvania, Univ. of Pennsylvania, Univ. of Pennsylvania, Univ. of Pennsylvania
(09:00 - 09:20)
Abstract
Tu1C-5: High-Linearity Bandstop Filter with Frequency and Bandwidth Tunability Utilizing Phase-Change Material Switches
Mark Hickle, Cameron Huang
BAE Systems, BAE Systems
(09:20 - 09:40)
Jose Luis Gonzalez-Jimenez
CEA-LETI
Hong-Yeh Chang
National Central Univ.
Location
146B
Abstract

This session presents low-phase noise signal generation from 2 GHz to 30 GHz using a variety of technologies including CMOS, SiGe, and GaN. Several advanced techniques including subharmonic injection, folded resonator, SIW resonator, dual core/quad mode, and post-fabrication selection will be discussed.

Abstract
Tu1D-1: A Ka-band 256-QAM Ninefold Sub-Harmonically Injection-Locked CMOS I/Q Modulator Using Pulsed Oscillator
Liang-Yu Chen, Po-Yuan Chen, Hong-Yeh Chang
National Central Univ., National Central Univ., National Central Univ.
(08:00 - 08:20)
Abstract
Tu1D-2: A Ka-Band High Power and Low Phase Noise GaN MMIC Oscillator with a Compact Open-Loop Folded Resonator Filter
Ying-Chi Chang, Jiayou Wang, Yin-Cheng Chang, Chuan-Jung Chen, Da-Chaing Chang, Yi Huang, Shawn S. H. Hsu
National Tsing Hua Univ., National Tsing Hua Univ., Taiwan Semiconductor Research Institute, National Tsing Hua University, Hsinchu, Taiwan, Taiwan Semiconductor Research Institute, University of Liverpool, the UK, National Tsing Hua Univ.
(08:20 - 08:40)
Abstract
Tu1D-3: An Ultra-low Phase Noise Substrate-Integrated-Waveguide Oscillator
Menghan Sun, DI LU, Jiajun Cai, Ming Yu
Southern Univ. of Science and Technology, Southern Univ. of Science and Technology, Southern Univ. of Science and Technology, Southern Univ. of Science and Technology
(08:40 - 09:00)
Abstract
Tu1D-4: 19-GHz VCO with Phase Noise of -117 dBc/Hz at 1-MHz Offset Using an Array of Near Minimum Size Transistors and Intelligent Post Fabrication Selection
Farzaneh Jalalibidgoli, Yiorgos Makris, Kenneth K.O
Univ. of Texas at Dallas, The University of Texas at Dallas, The University of Texas at Dallas
(09:00 - 09:20)
Abstract
Tu1D-5: A 2.9-to-7.2 GHz Dual-Core Quad-Mode VCO Achieving 206.5 dBc/Hz FoMT in 55nm CMOS
Ya Zhao, Chenglong Liang, chao fan, Zhongming xue, Xingguo Dong, Zixun Gao, Youze Xin, Bingjun Tang, Li Geng
Xi'an Jiaotong Univ., Xi'an Jiaotong Univ., Xi'an Jiaotong Univ., Xi'an Jiaotong Univ., Xi'an Jiaotong Univ., Xi'an Jiaotong Univ., Xi'an Jiaotong Univ., Xi'an Jiaotong Univ., Xi'an Jiaotong Univ.
(09:20 - 09:40)
Jonathan Comeau
BAE Systems
Steven Bowers
Univ. of Virginia
Location
146C
Abstract

This session presents new and innovative works investigating microwave, millimeter wave, and Terahertz photonic enabled systems. The session starts with a silicon photonic integrated beamformer in a phased array RF imaging system. Next we examine photonic-enabled Terahertz phased arrays based on dielectric rod waveguides. This is followed by a 1-bit digital radio-over-fiber system for uplink and downlink communications and an ultra-wideband modular RF front-end for a photonic-enabled imaging receiver. The session closes with presentations on a wideband frequency hopping radio link based on microwave photonics and the generation and distribution of RF signals using a tuned optical paired laser source.

Abstract
Tu1E-1: Silicon Photonic Integrated Circuit Beamformer for RF Photonic Applications
Timothy Creazzo, Chase Stine, Connor Creavin, Charles Harrity, Kevin Shreve, Fuquan Wang, Peng Yao, Janusz Murakowski, Garrett Schneider, Shouyuan Shi, Christopher Schuetz, Dennis Prather
Phase Sensitive Innovations, Phase Sensitive Innovations, Phase Sensitive Innovations, Phase Sensitive Innovations, Phase Sensitive Innovations, Inc., Phase Sensitive Innovations Inc., Phase Sensitive Innovations, Phase Sensitive Innovations, Phase Sensitive Innovations, Univ. of Delaware, Phase Sensitive Innovations, Univ. of Delaware
(08:00 - 08:20)
Abstract
Tu1E-2: Photonic-enabled Terahertz Phase Arrays using Dielectric Rod Waveguides for 6G Wireless Communications
Guillermo Carpintero, Daniel Headland, Garrit Schwanke, Milan Deumer, Simon Nellen, Sebastian Lauck, Lars Liebermeister, Kalliopi Spanidou, Muhsin Ali, Alejandro Rivera, Robert Kohlhaas
Universidad Carlos Iii De Madrid, Universidad Carlos III de Madrid, Fraunhofer Heinrich Hertz Institute, Fraunhofer Heinrich Hertz Institute, Fraunhofer Heinrich Hertz Institute, Fraunhofer Heinrich Hertz Institute, Fraunhofer Heinrich Hertz Institute, Universidad Carlos Iii De Madrid, Leapwave Technologies SL, Madrid, Leapwave Technologies SL, Madrid, Fraunhofer Heinrich Hertz Institute
(08:20 - 08:40)
Abstract
Tu1E-3: 1-bit Digital Radio-over-Fiber System with Hybrid Architecture for 40-GHz Band
Yuma Kase, Jeehoon Park, Shinichi Hori
NEC Corp., NEC Corp., NEC Corp.
(08:40 - 09:00)
Abstract
Tu1E-4: Ultrawideband Modular RF Frontend Development for Photonically-enabled Imaging Receiver
Shouyuan Shi, Fuquan Wang, Jeremy Abney, Zion Aranda, Garrett Schneider, Christopher Schuetz, Charles Harrity, Kevin Shreve, Mathew Zablocki, Samhit Dontamsetti, Robert Lawrence, Dennis Prather
Univ. of Delaware, Phase Sensitive Innovations Inc., Phase Sensitive Innovations, Phase Sensitive Innovations Inc., Univeristy of Delaware, Phase Sensitive Innovations, Phase Sensitive Innovations, Phase Sensitive Innovations, Inc., Phase Sensitive Innovations, Phase Sensitive Innovations, Phase Sensitive Innovations, inc, Univ. of Delaware
(09:00 - 09:20)
Abstract
Tu1E-5: Experimental Demonstration of a Wideband Frequency Hopping Radio Link
Sean O'Connor, Andrew Voshell, Diamond Moody, Nicholas Tomasello, Eric Konitzer, Wesley Norman, Thomas Clark
Johns Hopkins Applied Physics Laboratory, Johns Hopkins Applied Physics Laboratory, Johns Hopkins Applied Physics Laboratory, Johns Hopkins Applied Physics Laboratory, Johns Hopkins Applied Physics Laboratory, Johns Hopkins Applied Physics Laboratory, Johns Hopkins Univ.
(09:20 - 09:30)
Abstract
Tu1E-6: Tunable Optically Fed Radiofrequency Source for Distributing Coherent High-Fidelity Signals
Charles Harrity, Aqib Adib Mahmud, Garrett Schneider, Timothy Creazzo, Janusz Murakowski, David Chester, Kimba Clyne, Thomas Mascitelli, Christopher Schuetz, Dennis Prather
Phase Sensitive Innovations, Phase Sensitive Innovations, Phase Sensitive Innovations, Phase Sensitive Innovations, Phase Sensitive Innovations, Phase Sensitive Innovations, Phase Sensitive Innovations, Phase Sensitive Innovations, Phase Sensitive Innovations, Univ. of Delaware
(09:30 - 09:40)
Adrian Tang
Jet Propulsion Lab
Qi-jun Zhang
Carleton Univ.
Location
147AB
Abstract

This session looks at AI techniques for everything from synthesizers to channel estimators and MIMO systems.

Abstract
Tu1F-1: A Modular, Distributed and Scalable DOA Estimator for MIMO Systems
Adou Sangbone Assoa, Ashwin Bhat, Sigang Ryu, Arijit Raychowdhury
Georgia Institute of Technology, Georgia Institute of Technology, Georgia Institute of Technology, Georgia Institute of Technology
(08:00 - 08:20)
Abstract
Tu1F-2: An All-Digital Synthesizer Enabled by a Convolutional Neural Network
Chris Thomas, Mehdi Abderezai, Liang Dong, Vincent Leung
Boeing, HRL Laboratories, Baylor Univ., Baylor Univ.
(08:20 - 08:40)
Abstract
Tu1F-3: A Novel CNN-based Architecture for Over-the-Air 5G OFDM Channel Estimation
Fábio Coutinho, Hugerles Silva, Petia Georgieva, Arnaldo Oliveira
Instituto De Telecomunicacoes, Instituto De Telecomunicacoes, IEETA, Instituto De Telecomunicacoes
(08:40 - 09:00)
Abstract
Tu1F-4: ChirpNet: Noise-Resilient Sequential Chirp based Radar Processing for Object Detection
Sudarshan Sharma, Hemant Kumawat, Saibal Mukhopadhyay
Georgia Institute of Technology, Georgia Institute of Technology, Georgia Institute of Technology
(09:00 - 09:20)

-

Jasmin Grosinger
Graz Univ. of Technology
Dieff Vital
Univ. of Illinois at Chicago
Location
150AB
Abstract

This session will be on devices and components for effective wireless power transfer. It will focus on the design and testing of high-efficiency millimeter-wave rectifiers based on FD-SOI CMOS technology and novel security and identification concepts for SWIPT (simultaneous wireless information and power transfer) systems in IoT applications. Additionally, the session will cover highly efficient GaAs rectenna
MMIC with an electromagnetic coupling structure optimized for use with an external antenna. These pioneering advancements highlight the ongoing progress in wireless energy conversion, IoT security, and
high-frequency rectenna technology.

Abstract
Tu2A-1: A Novel e-Textile Body-Worn Antenna Array for Wireless Power Transfer and Energy Harvesting
Yutong Jiang, Zirui Zhang, Xianyue Liao, Zhirun Hu
Univ. of Manchester, Univ. of Manchester, Univ. of Manchester, Univ. of Manchester
(10:10 - 10:30)
Abstract
Tu2A-2: A New Security and Identification Concept for SWIPT Systems in IoT Applications
Taki Djidjekh, Lamoussa Sanogo, Gaël Loubet, Alassane Sidibe, Daniela Dragomirescu, Alexandru Takacs
LAAS-CNRS, LAAS-CNRS, LAAS-CNRS, LAAS-CNRS, LAAS-CNRS, LAAS-CNRS, Université de Toulouse, CNRS, UPS
(10:30 - 10:50)
Abstract
Tu2A-3: A 124-144 GHz Rectifier Achieving 22% RF-to-DC Conversion Efficiency in 22nm FD-SOI CMOS Technology
Xinyu Kong, Ahmet Çağrı Ulusoy
Karlsruhe Institute of Technology, KIT
(10:50 - 11:10)
Abstract
Tu2A-4: Broadband High-Efficiency Microwave Rectifier with Nonuniform Transmission-Line Input Matching for Harmonic Backscattering Applications
Lukas Hüssen, Muh-Dey Wei, Renato Negra
RWTH Aachen Univ., RWTH Aachen Univ., RWTH Aachen Univ.
(11:10 - 11:30)
Abstract
Tu2A-5: A 28 GHz Band Highly Efficient GaAs Rectenna MMIC with EM Coupling Structure for an External Highly Efficient Wire Antenna
Naoki Sakai, Yudai Tondokoro, Akinobu Kobayashi, Keisuke Noguchi, Masaomi Tsuru, Kenji Itoh
Kanazawa Institute of Technology, Kanazawa Institute of Technology, Kanazawa Institute of Technology, Kanazawa Institute of Technology, Kanazawa Institute of Technology, Kanazawa Institute of Technology
(11:30 - 11:50)
Cristiano Tomassoni
Univ. of Perugia
Vicente Boria
Univ. Politècnica de València
Location
145AB
Abstract

This session provides a glimpse into the design of advanced non-planar filters. The content includes innovative techniques for the design of low loss miniaturized filters, new multi-functional components such as filtering power dividers and combiners, novel implementation of ridge waveguide filters with transmission zeros, and high power filters for space applications.

Abstract
Tu2B-1: Direct-Coupled TE–TM Dual-Mode Waveguide Cavities
Cristiano Tomassoni, Simone Bastioli, Richard Snyder, Valentin de la Rubia
Univ. of Perugia, RS Microwave, RS Microwave, Univ. Politecnica de Madrid
(10:10 - 10:30)
Abstract
Tu2B-2: Practical Design of Waveguide Filters with Quarter-Wavelength Resonators Implementing Transmission Zeros Using Frequency-Variant Couplings
David Rubio, Santiago Cogollos, Vicente Boria-Esbert, Marco Guglielmi
Univ. Politècnica de València, Univ. Politècnica de València, Univ. Politècnica de València, Univ. Politècnica de València
(10:30 - 10:50)
Abstract
Tu2B-3: A Novel Six-Port Three-Way Filtering Splitter-Combiner Network Using a Lattice of Coupled Resonators Realized in Ridge Waveguides
Mohamed Fahmi, Jorge Ruiz-Cruz, Raafat Mansour
Defence Research and Development Canada, Univ. Politecnica de Madrid, Univ. of Waterloo
(10:50 - 11:10)
Abstract
Tu2B-4: A Novel Trisection for Implementing Below-Passband Transmission Zeros in Evanescent-Mode Waveguide Filters
Monica Martinez-Mendoza, Davide Smacchia, Jose Vicente Morro, Pablo Soto, Joaquin Vague, Marco Guglielmi, Vicente Boria-Esbert
Polytechnic Univ. of Valencia, ESA-VSC High Power RF Space Laboratory, Polytechnic Univ. of Valencia, Polytechnic Univ. of Valencia, Polytechnic Univ. of Valencia, Univ. Politècnica de València, Univ. Politècnica de València
(11:10 - 11:30)
Abstract
Tu2B-5: Design of Multifunctional Filtering Power Divider in Coaxial Technology for Power Combining Applications
Manoj Kumar, Gowrish Basavarajappa, Karun Rawat
Indian Institute of Technology Roorkee, Indian Institute of Technology Roorkee, Indian Institute of Technology Roorkee
(11:30 - 11:40)
Abstract
Tu2B-6: Short-End Half-Wavelength Four-Sections Coaxial Stepped ImpedanceResonators for Tx Space Bandpass Filter
Karim Kouny, Jean-François Favennec, Jessica Benedicto, Mohamed Belhaj, Nicolas Fil, Denis Payan, Alejandro Buitrago Bernal, Eric Rius
Université de Brest, École nationale d'ingénieurs de Brest (ENIB), Université de Brest, ONERA, CNES, CNES, Université de Brest, Université de Brest
(11:40 - 11:50)
Holger Maune
Otto von Guericke Univ.
Amelie Hagelauer
Fraunhofer EMFT
Location
146A
Abstract

This session highlights cutting-edge developments in microwave acoustics through papers on a highly selective Band-5 SAW filter, a 23.8 GHz acoustic filter in lithium niobate, a fin-mounted A5-mode lithium niobate resonator at 27 GHz, a 56 GHz trilayer AlN/ScAlN/AlN FBAR, and an experimental study of a cryogenic lithium niobate resonator. These contributions showcase innovative designs and experimental investigations, pushing the boundaries of microwave acoustics.

Abstract
Tu2C-1: Synthesis and Design of a Highly Selective Band-5 SAW Filter Using Cascaded DMS with Non-Uniform Polarities
Hanyu Tian, Yuandan Dong
Univ. of Electronic Science and Technology of China, University of Electronic Science and Technology of
(10:10 - 10:30)
Abstract
Tu2C-2: 23.8 GHz Acoustic Filter in Periodically Poled Piezoelectric Film Lithium Niobate with 1.52 dB IL and 19.4% FBW
Sinwoo Cho, Omar Barrera, Jack Kramer, Vakhtang Chulukhadze, Tzu-Hsuan Hsu, Joshua Campbell, Ian Anderson, Ruochen Lu
Univ. of Texas at Austin, Univ. of Texas at Austin, Univ. of Texas at Austin, Univ. of Texas at Austin, Univ. of Texas at Austin, Univ. of Texas at Austin, Univ. of Texas at Austin, Univ. of Texas at Austin
(10:30 - 10:50)
Abstract
Tu2C-3: A Fin-Mounted A5-Mode Lithium Niobate Resonator at 27.58 GHz with k2 of 4.4%, Q of 448, and FoM of 19.7
Jiming Fang, Kai Yang, Fuhong Lin, Haoran Tao, Jie Chen, Chengjie Zuo
Univ. of Science and Technology of China, Univ. of Science and Technology of China, Univ. of Science and Technology of China, Univ. of Science and Technology of China, Univ. of Science and Technology of China, Univ. of Science and Technology of China
(10:50 - 11:10)
Abstract
Tu2C-4: A 56 GHz Trilayer AlN/ScAlN/AlN Periodically Poled FBAR
Wenhao Peng, Suhyun Nam, Ding Wang, Zetian Mi, Amir Mortazawi
Univ. of Michigan, Univ. of Michigan, Univ. of Michigan, Univ. of Michigan, Univ. of Michigan
(11:10 - 11:30)
Abstract
Tu2C-5: Experimental Study of Periodically Poled Piezoelectric Film Lithium Niobate Resonator at Cryogenic Temperatures
Jack Kramer, Omar Barrera, Sinwoo Cho, Vakhtang Chulukhadze, Tzu-Hsuan Hsu, Ruochen Lu
Univ. of Texas at Austin, The University of Texas at Austin, Univ. of Texas at Austin, Univ. of Texas at Austin, The University of Texas at Austin, The University Of Texas At Austin
(11:30 - 11:50)
Steve Maas
Nonlinear Technologies
Chinchun Meng
National Yang Ming Chiao Tung Univ.
Location
146B
Abstract

This session presents advanced frequency conversion circuits using silicon-based and III-V semiconductor technologies. The wide range of topics including frequency multiplication, frequency mixing, and frequency division will be discussed.

Abstract
Tu2D-1: A W-Band Stacked Frequency Quadrupler With A Dual Driven Core Achieving 10.3% Drain Efficiency
Yaw Mensah, Sunil Rao, Jeffrey Teng, John Cressler
Georgia Institute of Technology, Georgia Institute of Technology, Georgia Institute of Technology, Georgia Institute of Technology
(10:10 - 10:30)
Abstract
Tu2D-2: A F-Band X4 Frequency Multiplier Chip with High Spectral Purity using Vertically Stacked Marchand Baluns and TF-MSL
Rainer Weber, Sandrine Wagner, Arnulf Leuther, Axel Tessmann
Fraunhofer Institute for Applied Solid State Physics, Fraunhofer Institute for Applied Solid State Physics, Fraunhofer Institute for Applied Solid State Physics, Fraunhofer Institute for Applied Solid State Physics
(10:30 - 10:50)
Abstract
Tu2D-3: A 43–84 GHz, Wideband Frequency Doubler With a Symmetric, AC-Terminated Transformer Balun
Wonsub Lim, Arya Moradinia, Sanghoon Lee, Jeffrey Teng, Christopher Coen, Nelson E. Lourenco, John D. Cressler
Georgia Institute of Technology, Georgia Institute of Technology, Georgia Institute of Technology, Georgia Institute of Technology, Georgia Tech Research Institute, Georgia Tech Research Institute, Georgia Tech Research Institute
(10:50 - 11:00)
Abstract
Tu2D-4: Strong Fundamental Rejection in Frequency Doublers at 220-260 GHz Using a 250-nm InP HBT Process
Jeff Shih-Chieh Chien, Eythan Lam, Jonathan Tao, James Buckwalter
Univ. of California, Santa Barbara, Univ. of California, Santa Barbara, Univ. of California, Santa Barbara, Univ. of California, Santa Barbara
(11:00 - 11:10)
Abstract
Tu2D-5: A Wideband Bi-directional Active Mixer for 5G Millimeter-Wave Applications
Pei-Wen Wu, Jia-Wei Ye, Zi-Hao Fu, Yu-Teng Chang, Kun-You Lin
National Taiwan Univ., National Taiwan Univ., National Taiwan Univ., Yuan Ze Univ., National Taiwan Univ.
(11:10 - 11:30)
Abstract
Tu2D-6: A Low Power 185 GHz Static CML Frequency Divider in SiGe HBTs Using Band-switching Technique in 45nm PDSOI BiCMOS
Hao-Yu Chien, Christopher Chen, Runzhou Chen, Jason Woo, Sudhakar Pamarti, Mau-Chung Frank Chang, Chih-Kong Ken Yang
Univ. of California, Los Angeles, Univ. of California, Los Angeles, Univ. of California, Los Angeles, Univ. of California, Los Angeles, Univ. of California, Los Angeles, Univ. of California, Los Angeles, Univ. of California, Los Angeles
(11:30 - 11:50)
Siva Yegnanarayanan
Massachusetts Institute of Technology
Cheryl Sorace-Agaskar
Massachusetts Institute of Technology
Location
146C
Abstract

Future 6G wireless systems and beyond will support communications, sensing, and positioning at multiple spectrum bands. Novel networking architectures will be required to achieve performance, resiliency, and flexibility for deployment of the antenna and related radio frequency (RF) hardware on fixed locations and vehicles for the radio access network. Deployment of a massive number of low SWaP-C picocells for coverage and flexible locations is a complex challenge that will strain the conventional base station, microcell, and even the O-RAN architectures, especially when applied to mm-wave and sub-THz spectrum bands at > 100 GHz. Does analog photonic have a role in meeting these challenges? If so, what and what are the key bottlenecks to implementation? This focus session tackles this question and will feature diverse technical perspectives (all-electronic, digital photonic, analog photonic and hybrid) from academia, industry and government labs.

Tu2E-2: TERA6G: Reconfigurable transceivers reaching into the millimeter-wave range
Guillermo Carpintero, Hercules Avramopoulos, David de Felipe, Simon Nellen, Chris Roeloffzen, Zerihun Tegegne, Angela Alexiou, Joonas Kokkoniemi, José Costa-Requena, Dimitrios Kritharidis, Eduardo Yusta
Universidad Carlos III de Madrid, Institute of Communications & Computer Systems, Fraunhofer Heinrich Hertz Institute, Fraunhofer Heinrich Hertz Institute, LioniX International BV, PHIX BV, Univ. of Piraeus, Oulun Yliopisto, Cumucore OY, Intracom Telecom, Telefónica Investigación y Desarrollo
(10:10 - 10:30)
Abstract
Tu2E-3: Ultra-broadband Indoor Optical Wireless Networks
Ampalavanapillai Nirmalathas, Tingting Song, Sampath Edirisinghe, Jianghao Li, Chathurika Ranaweera, Ke Wang, Christina Lim
Univ. of Melbourne, Univ. of Melbourne, University of Sri Jayewardenepura, Univ. of Melbourne, Deakin University, Rmit Univ., Univ. of Melbourne
(10:30 - 10:50)
Abstract
Tu2E-4: Optical Fronthauling and mm-wave/sub-THz Signal Generation Techniques for the 6G and Beyond 6G Wireless Systems
Amol Delmade, Liam Barry
Dublin City University, Dublin City University
(10:50 - 11:10)
SUDIPTO CHAKRABORTY
IBM Corp.
Kaushik Sengupta
Princeton Univ.
Location
147AB
Abstract

This session looks at AI/ML for transmitter blocks and elements including power amplifiers and pre-distorters.

Abstract
Tu2F-1: MP-DPD: Low-Complexity Mixed-Precision Neural Networks for Energy-Efficient Digital Pre-distortion of Wideband Power Amplifiers
Yizhuo Wu, Ang Li, Mohammadreza Beikmirza, Gagan Singh, Qinyu Chen, Leo de Vreede, Morteza Alavi, Chang Gao
Delft Univ. of Technology, Delft Univ. of Technology, Delft Univ. of Technology, Delft Univ. of Technology, Leiden Univ., Delft Univ. of Technology, Delft Univ. of Technology, Delft Univ. of Technology
(10:10 - 10:30)
Abstract
Tu2F-2: Deep Learning Enabled Generalized Synthesis of Multi-Port Electromagnetic Structures and Circuits for mmWave Power Amplifiers
Emir Ali Karahan, Zheng Liu, Kaushik Sengupta
Princeton Univ., Texas Instruments, Princeton Univ.
(10:30 - 10:50)
Abstract
Tu2F-3: Transfer Learning Assisted Fast Design Migration Over Technology Nodes: A Study on Transformer Matching Network
Chenhao Chu, Yuhao Mao, Hua Wang
ETH Zurich, ETH Zurich, ETH Zurich
(10:50 - 11:10)
Abstract
Tu2F-4: Optimizing Direct Learning Neural Network Digital Predistortion Through the Lottery Ticket Hypothesis Agent
Erez Loebl, Nimrod Ginzberg, Emanuel Cohen
Technion - Israel Institute of Technology, Tel-Aviv Univ., Technion - Israel Institute of Technology
(11:10 - 11:30)
Nuno Carvalho, Jasmine Grosinger, Volker Ziegler
Instituto De Telecomunicacoes, University of Graz, Airbus
Location
143ABC
Abstract

Space-based solar power has been in discussion for the last 40 years at least, but recently, with technological advances and the increase in gas cost, this topic is on the table again, not only in academic environments but especially in governmental programs. Some of the countries investing significantly in this approach include USA, Japan, China, Canada, the UK, New Zealand, Australia, and more recently the EU. The concept implies collecting energy out in space and beaming it to where needed, been earth or any other planet and or satellite; one of the most recent ideas has been to use it to power up moon stations by creating a truly microwave energy link network on the moon. This special session's objective is to bring researchers in this area to IMS and to discuss the novelties in microwave transmitters and receivers, being those highly efficient power amplifiers or high efficient RF to DC converters.

Abstract
Tu2G-1: (Space-based solar) Wireless Power Transfer: The Airbus vision
Volker Ziegler
AirBus
(10:10 - 10:30)
Abstract
Tu2G-2: Toward an Ecosystem of Wireless Energy from Earth to Space and Back
Ali Hajimiri
CalTech
(10:30 - 10:50)
Abstract
Tu2G-3: Space Based Solar Power in Japan
Naoki Shinohara
Kyoto Univ.
(10:50 - 11:10)
Abstract
Tu2G-4: ESA’s Solaris Initiative and results from recent Concept studies on Space-based Solar Power Systems
Sanjay Vijendran
ESA
(11:10 - 11:30)
Abstract
Tu2G-5: POWER: Persistent Optical Wireless Energy Relay, and DARPA’s pathway to Energy Web Dominance
Paul Jaffe
DARPA
(11:30 - 11:50)

-

Edward Niehenke, Aaron Oki, Tim Lee, Peter Stacker, JK McKinney
Niehenke Consulting, Northrop Grumman Corp., Boeing, Past President, Dura Sales of Southern California
Location
150AB
Abstract

Dr. Reynold Kagiwada (M ’72, SM ’79, F’89, LF’05), IEEE MTT-S Past President, passed away peacefully in June 2023. He is survived by his two children, Conan Kagiwada and Julia Kagiwada. Dr. Kagiwada was an IEEE Life Fellow (1989), the recipient of the IEEE Third Millennium Medal, the MTT-S 2011 Distinguished Service Award and the MTT-S 1997 N. Walter Cox Award. He was recipient of TRW Gold Medal Award and the Ramo Technical Award in 1985. He was a member of Old Crows, Sigma Pi Sigma and Sigma Xi.

Dr. Kagiwada’s career spans over 50 years. At Northrop Grumman (Redondo Beach, CA), he was Advanced Technology Director from 2002 to 2018. At TRW (Redondo Beach, CA), he was Advanced Technology Manager (1990-2002), Assistant Program Manager (1989-1990), MIMIC Program Chief Scientist (1988-1989)[4], Project Manager (1987-1988), Laboratory Manager (1984-1987), Senior Scientist, Department Manager, (1977-1983) and Scientist, Section Head and MTS (1972-1977). In academia, he was Assistant Professor in Physics, University of Southern California (1969-1972) and Assistant Professor in Residence Physics, University of California Los Angeles (1966-1969).

He is fondly remembered by generations of colleagues as a great mentor and advocate that brought out the best in everyone around him.

Ming Yu
South Univ. of Science and Technology
Simone Bastioli
RS Microwave
Location
145AB
Abstract

This session focuses on advanced synthesis techniques for filters and multiplexers. Content includes techniques utilizing non-resonating nodes in the synthesis, extraction of diplexer parameters, synthesis of spatial filters, synthesis of frequency dependent coupling filters, and antenna-loaded filters.

Abstract
Tu3B-1: Synthesis of Underdetermined Filter Topologies with Nonresonating Nodes within a Limited Range
Yi Zeng, Ming Yu
Southern Univ. of Science and Technology, Southern Univ. of Science and Technology
(13:30 - 13:50)
Abstract
Tu3B-2: Circuit Model Extraction of Coupled-Resonator Diplexers with Common Resonator from Two-Port S-Parameters
Yuliang Chen, Huan Meng, Wing Hung Hung, Junyi Liu, Ke-Li Wu
Chinese Univ. of Hong Kong, Shenzhen Technology University, Chinese Univ. of Hong Kong, Chinese Univ. of Hong Kong, Chinese Univ. of Hong Kong
(13:50 - 14:10)
Abstract
Tu3B-3: Synthesis Design of Wideband 3-D Polarization-Rotating Spatial Filter
Tao Wei, Wanping Zhang, Hanxuan Li, Bo Li, Lei Zhu
Nanjing University of Posts and Telecommunications, Nanjing University of Posts and Telecommunications, Nanjing University of Posts and Telecommunications, Nanjing University of Posts and Telecommunications, University of Macau
(14:10 - 14:30)
Abstract
Tu3B-4: Analytical Synthesize and Dimensioning of FDC Waveguide Filters
Yimin Yang, Qiuyi Wu, Beizun Liu, Ming Yu
Xidian Univ., Xidian Univ., Xidian Univ., Southern Univ. of Science and Technology
(14:30 - 14:50)
Abstract
Tu3B-5: Design of Monoblock Antenna-Loaded Bandpass Filters with General Synthesis Theory of Complex-Loaded Filters
Xiao Tan, Yuliang Chen, Ke-Li Wu
Chinese Univ. of Hong Kong, Chinese Univ. of Hong Kong, Chinese Univ. of Hong Kong
(14:50 - 15:10)
Hamed Rahmani
New York Univ.
Chun-hsing Li
National Taiwan Univ.
Location
146A
Abstract

This session features a selection of papers that delve into advanced packaging and circuit implementation techniques for subTHz/THz systems. The session will provide an in-depth examination of THz transceivers, focusing on key building blocks such as transmitter and receiver front-ends, power detectors, phased arrays, and phase shifters, as well as micro-machined and photoconductive switches.

Abstract
Tu3C-1: An Energy Efficient 56-Gb/s D-band Point-to-point Link based on CMOS TX and RX Modules and Transmitarray Beamformers
José Luis GONZALEZ JIMENEZ, Alexandre SILIGARIS, Abdelaziz Hamani, Francesco Foglia Manzillo, Pierre Courouve, Nicolas Cassiau, Cedric DEHOS, Antonio Clemente
Univ. Grenoble Alpes - CEA, LETI, CEA-LETI, Univ of Grenoble-Alpes France, CEA, Univ. Grenoble Alpes - CEA, LETI, Univ. Grenoble Alpes - CEA, LETI, Univ. Grenoble Alpes - CEA, LETI, Univ. Grenoble Alpes - CEA, LETI
(13:30 - 13:50)
Abstract
Tu3C-2: An FMCW-Modulated-Oscillator-based Wide-band Terahertz Detector in 16nm FinFET
jia zhou, Christopher Chen, Mau-chung Frank Chang
Univ. of California, Los Angeles, Univ. of California, Los Angeles, Univ. of California, Los Angeles
(13:50 - 14:10)
Abstract
Tu3C-3: 235-GHz Amplifier-Frequency-Multiplier Chain with Optimal Harmonic Impedance Matching Network in 40-nm CMOS
Chih-Hsueh Lin, Chun-Sheng Lin, Chun-Hsing Li
National Taiwan Univ., National Taiwan Univ., National Taiwan Univ.
(14:10 - 14:20)
Abstract
Tu3C-4: A 240-GHz Wideband LNA with Dual-Peak-Gmax Cores and Customized High-Speed Transistors in 40-nm CMOS
Yu-Kai Chen, Wei-Zhe Su, Yi-Fan Tseng, Chun-Hsing Li
National Taiwan Univ., National Taiwan Univ., National Taiwan Univ., National Taiwan Univ.
(14:20 - 14:30)
Abstract
Tu3C-5: Micromachined Waveguide-Integrated Sub-THz Crossover Switch
Armin Karimi, Umer Shah, Joachim Oberhammer
KTH Royal Institute of Technology, KTH Royal Institute of Technology, KTH Royal Institute of Technology
(14:30 - 14:50)
Abstract
Tu3C-6: Sub-THz Photoconductive Evanescent-Mode Waveguide SPST Switch
Thomas Jones, Dimitrios Peroulis
Purdue Univ., Purdue Univ.
(14:50 - 15:10)
Kenneth Mays
Boeing
Wooram Lee
Pennsylvania State Univ.
Location
146B
Abstract

This session presents mmWave key building blocks in CMOS and GaN processes for advanced phased arrays. We start with a W-Band switchless PA-LNA front-end that is followed by other compact and broadband 39 and 28 GHz Tx/Rx designs. We end our session with a highly precise W-Band 7-bit phase shifter based on a voltage-summed vector modulator.

Abstract
Tu3D-1: Design of a W-Band Transformer-Based Switchless Bidirectional PA-LNA in 65-nm CMOS Process
Chun-Chia Chien, Yunshan Wang, Yuen-Sum Ng, Chau-Ching Chiong, Huei Wang
National Taiwan Univ., National Taiwan Univ., National Taiwan Univ., Academia Sinica, National Taiwan Univ.
(13:30 - 13:50)
Abstract
Tu3D-2: 39 GHz Transmit/Receive Front-End-Module With Back-Off Efficiency Enhancement for 5G Communication
Hang Yu, Mehran Hazer Sahlabadi, Slim Boumaiza
Univ. of Waterloo, Univ. of Waterloo, Univ. of Waterloo
(13:50 - 14:10)
Abstract
Tu3D-3: A Compact 28-GHz Transmitter Front-End with Co-Optimized Wideband Chip-Antenna Interface Achieving 18.5-dBm P1dB and 1.0-W/mm2 Power Density for Phased Array Systems
Zilu Liu, Li Wang, Hamed Fallah, Zhijian Chen, C. Patrick Yue
Hong Kong Univ. of Science and Technology, Hong Kong Univ. of Science and Technology, Hong Kong Univ. of Science and Technology, South China Univ. of Technology, Hong Kong Univ. of Science and Technology
(14:10 - 14:30)
Abstract
Tu3D-4: Broadband Low-Noise Ka-Band Front-End MMIC in a 0.15-µm GaN-on-SiC HEMT Technology
Fabian Thome, Philipp Neininger, Sebastian Krause, Peter Brückner, Ruediger Quay
Fraunhofer IAF, Fraunhofer IAF, Fraunhofer Institute for Applied Solid State Physi, Fraunhofer IAF, Fraunhofer IAF and University of Freiburg
(14:30 - 14:50)
Abstract
Tu3D-5: A Ka-Band Low-Power Ultra-Compact Reconfigurable Amplifier with Reverse Bypass Mode for Multi-Element Phased Array Transceivers
Youngjoo Lee, Hyeonhak Lim, Dohoon Chun, Byung-Wook Min
Yonsei Univ., Yonsei Univ., Yonsei Univ., Yonsei Univ.
(14:50 - 15:00)
Abstract
Tu3D-6: A 90-100 GHz Vector Modulator 7-bit Phase Shifter with Voltage Summation Topology
Tal Elazar, Eran Socher
Tel-Aviv Univ., Tel-Aviv Univ.
(15:00 - 15:10)
Kamran Entesari
Texas A&M Univ.
Siva Yegnanarayanan
Massachusetts Institute of Technology, Lincoln Laboratory
Location
146C
Abstract

This session discusses state-of-the-art microwave photonic and electronic techniques to generate, amplify and radiate mm-wave and sub-THz signals. The first paper discusses sub-THz signal generation with very low linewidth using asymmetric locking of two lasers. The second paper describes broadband optical frequency-modulated continuous wave (FMCW) signal generation using hybrid electronic-photonic techniques. The third paper is about widely tunable, photonically assisted, RF-sub-THz signal generation with frequency independent phase noise. The fourth paper achieves microwave signal amplification using injected semiconductor lasers. Finally, the last paper covers design and implementation of an on chip topological filter antenna operating at 300 GHz.

Abstract
Tu3E-1: Photonic Generation of Tunable Sub-THz Signals Using Two Semiconductor Lasers with Highly Asymmetric Mutual Coupling
Chin-Hao Tseng, Bin-Kai Liao, Sheng-Kwang Hwang
National Cheng Kung Univ., National Cheng Kung Univ., National Cheng Kung Univ.
(13:30 - 13:50)
Abstract
Tu3E-2: Efficient Synthesis of Broadband Linear Frequency-Modulated Quadrature Signals for Coherent Electro-Optical Sensor Systems
Marius Schmidt, Christian Carlowitz
Friedrich-Alexander-Univ. Erlangen-Nürnberg, Friedrich-Alexander-Univ. Erlangen-Nürnberg
(13:50 - 14:10)
Abstract
Tu3E-3: Photonic Synthesis of Continuously Tunable (5-170 GHz) Microwave Signals with Frequency Independent Phase Noise
Amany Kassem, Zichuan Zhou, Izzat Darwazeh, Zhixin Liu
Univ. College London, Univ. College London, Univ. College London, Univ. College London
(14:10 - 14:30)
Abstract
Tu3E-4: Photonic Microwave Amplification using Optically Injected Semiconductor Lasers at Stable locking Dynamics
Guan-Ting Lu, Chin-Hao Tseng, Sheng-Kwang Hwang
National Cheng Kung Univ., National Cheng Kung Univ., National Cheng Kung Univ.
(14:30 - 14:50)
Abstract
Tu3E-5: On-Chip Terahertz Topological Filter Antenna for 6G
Sonu Kumar, Nikhil Navaratna, Arokiaswami Alphones, Ranjan Singh
Nanyang Technological Univ., Nanyang Technological Univ., Nanyang Technological Univ., Nanyang Technological Univ.
(14:50 - 15:10)
Mohammad Zarifi
Univ. of British Columbia
Jasmine Grosinger
Graz Univ. of Technology
Location
147AB
Abstract

This session will discuss the integration of advanced wireless technologies in autonomous sensors in diverse fields such as environmental monitoring and smart infrastructure. It explores various wireless communication hardware and methods, assessing their compatibility with autonomous sensors based on range, power efficiency, and scalability.

Abstract
Tu3F-1: Integrated Dual-Mode Energy Harvesting for Self-Sustaining Sensor Nodes: Synergy of Solar and RF Energies
Yasser Qaragoez, Vladimir Volski, Sofie Pollin, Dominique Schreurs
KU Leuven, KU Leuven, KU Leuven, KU Leuven
(13:30 - 13:50)
Abstract
Tu3F-2: Augmented Reality-assisted Battery-less Microwave-based Sensors for Smart Health Monitoring of Coatings
Vishal Balasubramanian, Mohammad Zarifi
Univ. of British Columbia, Univ. of British Columbia
(13:50 - 14:10)
Abstract
Tu3F-3: Spatial Multiplexing Technique for MIMO Backscatter Communication
Hyunmin Jeong, Hoyong Kim, Nohgyeom Ha, Sangkil Kim
Pusan National Univ., Pusan National Univ., Pusan National Univ., Pusan National Univ.
(14:10 - 14:30)
Abstract
Tu3F-4: A Stand-Alone Moisture Content Sensor Based on a Loaded Self-Oscillating Antenna
Alessandra Di Florio Di Renzo, Simone Trovarello, Oumaima Afif, Leonardo Franceschelli, Marco Tartagni, Diego Masotti, Alessandra Costanzo
Univ. of Bologna, Univ. of Bologna, Univ. of Bologna, Univ. of Bologna, Univ. of Bologna, Univ. of Bologna, Univ. of Bologna
(14:30 - 14:50)

-

Bayaner Arigong
Florida State Univ.
Hualiang Zhang
UMass
Location
150AB
Abstract

In this session, recent advancement and achievement at DARPA on 3D heterogeneous integration (3DHI) and multiport passive components operating from RF/Microwave to Millimeter-wave range will be presented, resulting in wideband performance and compact size.

Tu4A-1: KEYNOTE: Advancing Performance in 3DHI
Dev Palmer
Defense Advanced Research Projects Agency
(15:40 - 16:00)
Abstract
Tu4A-2: Image Dielectric Guides based Crossover for Millimeter-Wave Applications
Farooq Faisal, Mohamed Chaker, Tarek Djerafi
Institut National de la Recherche Scientifique, Institut National de la Recherche Scientifique, Institut National de la Recherche Scientifique
(16:00 - 16:20)
Abstract
Tu4A-3: A Novel Compact Uniplanar Isolation Circuit for Three-Port Baluns
Xianfeng Que, Lingtao Jiang, Yanjie Wang
South China Univ. of Technology, South China Univ. of Technology, South China Univ. of Technology
(16:20 - 16:40)
Abstract
Tu4A-4: A Compact 1.08–5.94 GHz Balun With 1 degree/0.07 dB Phase-/Amplitude-Imbalances Using Reverse Series Paths
Chenghui Wang, Xun Luo
Univ. of Electronic Science and Technology of China, Univ. of Electronic Science and Technology of China
(16:40 - 17:00)
Abstract
Tu4A-5: Incorporating Resistive Foil RF Attenuators and Equalizers on and within PCBs from DC to 60 GHz: Design, Analysis, and Experimental Validation
Maurio Grando, Randy Direen, John Richardson, Susana Martinez, John Andresakis, Lisa Wilhelm
Invictus Animus Research and design, Invictus Animus Research and design, Quantic: X-Microwave, Quantic:X-Microwave, Quantic: Ticer, Quantic: Ohmega
(17:00 - 17:20)
Julien Lintignat
Xlim - CNRS- Universite De Limoges
Charles Campbell
QORVO, Inc.
Location
145AB
Abstract

This session presents the latest advancements in reconfigurable filters and passive devices. The reconfigurable filters presented include a paper on multi-functional bandpass filter that provides tunable attenuator and reflectionless phase shifter functionalities, and a liquid-metal-based filter with reconfigurable phase shifting properties. The session then transitions into passive devices, which include different ways to realize reconfigurable loads for phase shifters and a reconfigurable 90nm GaAs MMIC quadrature coupler operating in the 17.3-21.2-GHz frequency range.

Abstract
Tu4B-1: Multi-functional Bandpass Filter with Co-designed Tunable Attenuator and Reflectionless Phase Shifter Functionalities
Zixiao Zhang, Dimitra Psychogiou
Univ. College Cork, Univ. College Cork
(15:40 - 16:00)
Abstract
Tu4B-2: Liquid Metal-Enabled Multi-Functional Passive Device
Yiwen Wu, Lu Qian, Yi Wang
Univ. of Birmingham, Univ. of Birmingham, Univ. of Birmingham
(16:00 - 16:20)
Abstract
Tu4B-4: Monolithically Integrated Liquid Crystal Tunable Reflective Load for Millimeter-Wave Phase Shifter Applications
Hassan Kianmehr, Raafat Mansour
Univ. of Waterloo, Univ. of Waterloo
(16:20 - 16:40)
Abstract
Tu4B-5: Reconfigurable Quadrature Couplers
Charles Campbell
QORVO, Inc.
(16:40 - 17:00)
Jeong-sun Moon
HRL Laboratories
Location
146A
Abstract

Next-generation RF/mmW systems are of great interest in supporting high data rate communications, which include future 5G FR3 and millimeter-wave 5G FR2 and 6G mobile networks. These RF networks can potentially deliver 10 Gbps or higher and backhaul communications with > 50 Gbps. Since E/D/G-band can also support multi-GHz bandwidths, there is also growing interest in mmW phased-array implementations.

This focused session will cover emerging high-performance Silicon and III-V RF/mmW technologies toward 5G/6G wireless applications and DoD applications with speakers from both commercial (Global Foundries and Intel) and Defense (DARPA, Northrop Grumman, HRL) sectors.

Abstract
Tu4C-1: KEYNOTE: 3D Heterogeneous Integration (3DHI) to enable Next Generation Millimeter Wave Phased Arrays
Thomas Kazior
Defense Advanced Research Projects Agency
(15:40 - 16:00)
Abstract
Tu4C-2: A <5 dB NF, >17 dBm OP1dB F-Band GaN-on-SiC HEMT LNA with a Monolithic Substrate-Integrated Waveguide Filter
Fabian Thome, Dirk Schwantuschke, Peter Brückner, xiaopeng wang, James C. M. Hwang, Ruediger Quay
Fraunhofer IAF, Fraunhofer Institute for Applied Solid State Physi, Fraunhofer IAF, Cornell Univ., Cornell Univ., Fraunhofer IAF and University of Freiburg
(16:00 - 16:20)
Abstract
Tu4C-3: Advancements in 300mm GaN-on-Si Technology with Industry’s First Circuit Demonstration of Monolithically Integrated GaN and Si Transistors
Qiang Yu, Ali Farid, Ibukunoluwa Momson, Jeffrey Garrett, Heli Vora, Samuel Bader, Ahmad Zubair, Pratik Koirala, Michael Beumer, Andrey Vyatskikh, Paul Nordeen, Thomas Hoff, Marko Radosavljevic, Said Rami, Frank O'Mahony, Han Wui Then
Intel Corp., Intel Corp., Intel Corp., Intel Corp., Intel Corp., Intel Corp., Intel Corp., Intel Corp., Intel Corp., Intel Corp., Intel Corp., Intel Corp., Intel Corp., Intel Corp., Intel Corp., Intel Corp.
(16:20 - 16:40)
Abstract
Tu4C-4: Enabling Monolithic Integration of an Advanced 7-Layer Silicon Back-End-Of-Line (BEOL) on 40nm GaN for Next Generation MMICs
JONATHAN RODERICK, Georges Siddiqi, Dan Denninghoff, Daniel Berkoh, Joe Tai, Sunil Rao, Jonathan Lynch, Clayton Tu, Hasan Sharifi, Daniel Kuzmenko, Jana Georgieva, Warren McArthur, Seyed Mirshafieyan, David Howard
HRL Laboratories, LLC, HRL LLC, HRL LLC, HRL LLC, HRL LLC, HRL LLC, HRL LLC, HRL LLC, HRL Laboratories, LLC, HRL LLC, HRL LLC, TowerSemi, TowerSemi, TowerSemi
(16:40 - 17:00)
Mahdi Javid
QORVO, Inc.
Payam Heydari
Univ. of California, Irvine
Location
146B
Abstract

This session includes advanced techniques to design transmitter and receiver sub-systems operating at mmWave frequency range. The keynote presentation in this session gives an interesting perspective about the AI-enhanced mm-wave systems. This is followed by the presentation on a 16-element dual-polarized phased-array transceiver. The third talk presents a highly integtated sensing and communication transceiver. Next, a D-Band four-element transmitter array module with flip-chip aperture coupled antenna is presented. Finally, the session ends with a paper on K/Ka band beam-forming front-end module with self-diplexing antenna.

Tu4D-1: KEYNOTE: From Waves to Insights: AI-enhanced mmWave systems
Alberto Valdes Garica
IBM T.J. Watson Research Center
(15:40 - 16:00)
Abstract
Tu4D-2: A 37-43.5-GHz Fully-Integrated 16-Element Phased-Array Transceiver with 64-QAM 7.2-Gb/s Data Rates Supporting Dual-Polarized MIMO
Xin Chen, Xiaokang Niu, Xuan Wang, Haipeng Duan, Jing Feng, Lin Lu, Long He, Qin Chen, Depeng Cheng, Lei Luo, Xu Wu, Jiachen Si, Xujun Ma, Xiangning Fan, Lianming Li
Southeast Univ., Purple Mountain Laboratories, Southeast Univ., Southeast Univ., Southeast Univ., Southeast Univ., Purple Mountain Laboratories, Southeast Univ., Purple Mountain Laboratories, Purple Mountain Laboratories, Southeast Univ., Southeast Univ., Télécom SudParis, Institut Polytechnique de Paris, Southeast Univ., Southeast Univ.
(16:00 - 16:20)
Abstract
Tu4D-3: A 60-GHz Highly-Reused Joint Radar-Communication Transceiver with Reconfigurable Dual-Mode Gilbert Cells in 65-nm CMOS
Lin Lu, Xujun Ma, Jing Feng, Long He, Xuewei Fan, Qin Chen, Xin Chen, Zhiqiang Liu, Jiachen Si, Xiangning Fan, Lianming Li
Southeast Univ., Télécom SudParis, Institut Polytechnique de Paris, Southeast Univ., Purple Mountain Laboratories, Southeast Univ., Southeast Univ., Southeast Univ., Purple Mountain Laboratories, Southeast Univ., Southeast Univ., Southeast Univ.
(16:20 - 16:40)
Abstract
Tu4D-4: Fine Pitch D-band Transmit Modules with Flip-Chip Aperture Coupled Antennas
Alex Ayling, Ali Hajimiri
California Institute of Technology, California Institute of Technology
(16:40 - 17:00)
Abstract
Tu4D-5: A K/Ka-Band Satellite Terminal Beamforming Front-End-Module Utilizing Dual-Band Self-Diplexing Antennas
Jill Mayeda, Dongwon You, Xi Fu, Xiaolin Wang, Hans Herdian, Michihiro Ide, Takashi Tomura, Hiroyuki Sakai, Kazuaki Kunihiro, Kenichi Okada, Atsushi Shirane
Tokyo Institute of Technology, Tokyo Institute of Technology, Tokyo Institute of Technology, Tokyo Institute of Technology, Tokyo Institute of Technology, Tokyo Institute of Technology, Tokyo Institute of Technology, Tokyo Institute of Technology, Tokyo Institute of Technology, Tokyo Institute of Technology, Tokyo Institute of Technology
(17:00 - 17:20)
Jesse Moody
Sandia National Laboratories
Luciano Boglione
Naval Research Laboratory
Location
146C
Abstract

Microwave Radiometry and Low Noise Amplifiers from microwave to millimeterwaves. Broad range of state-of-the-art LNAs including IR-UWB applications to W-band GaN high linearity uses.

Tu4E-1: KEYNOTE: Radiometry and the Ever Shrinking Spectra and Ever Expanding Needs
Sid Misra
NASA’s Jet Propulsion Lab
(15:40 - 16:00)
Abstract
Tu4E-2: A Power-Efficient, F-Band, 6.5-dB NF, Staggered-Tuned, Inverter-Based CMOS LNA for 6G Receivers
Youssef Hassan, Mohammad Oveisi, Huan Wang, Payam Heydari
Univ. of California, Irvine, Univ. of California, Irvine, Univ. of California, Irvine, Univ. of California, Irvine
(16:00 - 16:20)
Abstract
Tu4E-3: W-Band Low-Noise-Amplifier MMICs in InGaAs HEMT Technologies on Gallium-Arsenide and Silicon Substrates
Felix Heinz, Arnulf Leuther, Fabian Thome
Fraunhofer Institute for Applied Solid State Physics, Fraunhofer Institute for Applied Solid State Physics, Fraunhofer Institute for Applied Solid State Physics
(16:20 - 16:40)
Abstract
Tu4E-4: A Ku-Band +2 dBm IIP3 Transformer-Based LNA with Loop-Gain-Enhanced Capacitive Negative Feedback
Teng-Shen Yang, Po-Yao Hsu, Liang-Hung Lu
National Taiwan Univ., National Taiwan Univ., National Taiwan Univ.
(16:40 - 17:00)
Abstract
Tu4E-5: A 6.8 - 9.4 GHz LNA Achieving 36.5 dB Peak Gain, Consuming 4.28 mW with an Adjustable Threshold Limiter for IR-UWB Applications
Stefan Lepkowski, Travis Forbes, Jesse Moody
Sandia National Laboratories, Sandia National Laboratories, Sandia National Laboratories
(17:00 - 17:20)
Paolo Mezzanotte
Univ. of Perugia
Smail TEDJINI
Univ of Grenoble-Alpes France
Location
147AB
Abstract

This session will be exploring innovative designs and methodologies of radio frequency and microwave technologies. The presentations focus on the applications of RF/Microwave in sensing and monitoring processes, emphasizing wireless and contactless techniques. The discussion aims to probe the latest advancements and breakthroughs in this field, offering insights into how these technologies can be effectively utilized in real scenarios. We will examine the practical implications of these technologies, assessing their potential impact and benefits in real-world applications.

Abstract
Tu4F-1: Hand Motion-modulated Chipless RFID for Gesture Recognition
Ashkan Azarfar, Nicolas Barbot, Etienne Perret
Univ of Grenoble-Alpes France, Univ of Grenoble-Alpes France, Univ of Grenoble-Alpes France
(15:40 - 16:00)
Abstract
Tu4F-2: RFID-based Microwave Resonant Structure for VOC Monitoring Using Flexible PDMS Beam
Hamed Mirzaei, Mohammad Arjmand, Mohammad Zarifi
Univ. of British Columbia, The University of British Columbia, Univ. of British Columbia
(16:00 - 16:20)
Abstract
Tu4F-3: Enhancing Battery-Free Sensor Nodes: Integrating Passive Beamforming with Frequency Division Duplexing
Yasser Qaragoez, Sofie Pollin, Dominique Schreurs
KU Leuven, KU Leuven, KU Leuven
(16:20 - 16:40)
Abstract
Tu4F-4: Determining Media Absorption Loss using Embedded Harmonic Transponders
Rye Fought, Elsie Anthonio, Tara Harte, Pawan Bastola, Ruth Petzoldt, Harrison Jaffe, Mandar Dewoolkar, Jeff Frolik
University Of Vermont, University of Vermont, University of Vermont, University of Vermont, University Of Vermont, University of Vermont, University of Vermont, University Of Vermont
(16:40 - 16:50)
Abstract
Tu4F-5: Comparative Study: Evaluating Chipless RFID Tag Authenticity With a Portable MIMO Reader-Based Approach
Shahed Khan, Likitha Lasantha, Nemai Karmakar
Monash Univ., Monash Univ., Monash Univ.
(16:50 - 17:00)

-

Vittorio Camarchia
Politecnico di Torino
VARISH DIDDI
Qualcomm Technologies, Inc.
Location
146A
Abstract

This session presents high efficiency and linearity power amplifiers modules/MMIC designed in GaN and GaAs compound semiconductors. The papers of the session focus on a wide range of applications moving from communications in NR FR1 up to Ka-band satellite.

The topology selected are multistage Doherty architecture and differential topology to achieve high efficiency and linearity over wide bands.

We1C-1: KEYNOTE: MMIC Power Amplifier & Front End Module Design for Ku-Ka band Commercial Communication Systems
Michael Roberg
mmTron, Inc.
(08:00 - 08:20)
Abstract
We1C-2: High-gain and high-linearity MMIC GaN Doherty Power Amplifier with 3-GHz bandwidth for Ka-band satellite communications
Anna Piacibello, Roberto Quaglia, Rocco Giofrè, Ricardo Figueiredo, Paolo Colantonio, Nuno Carvalho, Vaclav Valenta, Vittorio Camarchia
Politecnico di Torino, Cardiff University, Univ. of Rome Tor Vergata, Univ. of Aveiro, Univ. of Rome Tor Vergata, Instituto De Telecomunicacoes, European Space Agency, Politecnico di Torino
(08:20 - 08:40)
Abstract
We1C-3: A High Efficiency and High Linearity GaAs HBT Doherty Power Amplifier for 5G NR 3.4V Application
Shihai He, Jingxian Liang, Linjian Xu, Hao Meng, Yongxue Qian
Beijing Onmicro Electronics Co.,Ltd. China, Beijing Onmicro Electronics Co.,Ltd. China, Beijing Onmicro Electronics Co.,Ltd. China, Beijing Onmicro Electronics Co.,Ltd. China, Beijing Onmicro Electronics Co.,Ltd. China
(08:40 - 09:00)
Abstract
We1C-4: A Highly Linear and Efficient Differential Power Amplifier with 35-dBm Saturated Output Power, 65% Peak PAE by Reducing Base Voltage Peaking in InGaP/GaAs HBT Process for Handset applications
Sooji Bae, Jooyoung Jeon, Sungwoon Hwang, Byeongcheol Yoon, Junghyun Kim
Hanyang Univ., Gangneung-Wonju National University, Hanyang Univ., Hanyang Univ., Hanyang Univ.
(09:00 - 09:20)
Abstract
We1C-5: Integrated 5-W GaN Doherty Power Amplifier for 5G FR1 bands with 19 dB Gain over a 41% Bandwidth
Giulia Bartolotti, Anna Piacibello, Vittorio Camarchia
Politecnico di Torino, Politecnico di Torino, Politecnico di Torino
(09:20 - 09:40)
Suresh Venkatesh
North Carolina State Univ.
Nils Pohl
Ruhr Univ. Bochum
Location
146B
Abstract

This session presents new advances in system designs and signal processing for high-precision radar sensing applications. The topics range from ultra-precise micro-meter distance sensing, integrated radar designs for precise velocity measurement, and system concepts for improving the performance of radar systems.

Abstract
We1D-1: Considerations on Near-Field Correction: µm Accuracy with mmWave Radar
Lukas Piotrowsky, Nils Pohl
Ruhr Univ. Bochum, Ruhr Univ. Bochum
(08:00 - 08:20)
Abstract
We1D-2: Micro Vibration Reconstruction Under In-Range Large-Scale Dynamic Clutters Using a Bi-Exponential Radar Signal Model
Xujun Ma, Pei Wang, Jie Liu, Daqing Zhang
Télécom SudParis, Institut Polytechnique de Paris, Télécom SudParis, Institut Polytechnique de Paris, Nanjing Forestry University, Télécom SudParis, Institut Polytechnique de Paris
(08:20 - 08:40)
Abstract
We1D-3: Uncorrelated Phase Noise Cancellation in Intermediate Frequency With a Low-IF Dual-PLL Radar System
Mandong Zhang, Xiaohu Wu, Dengfeng Pang, Lang Qin, Jinfeng Li, Yuheng Cao, Xiaonan Jiang, Xiaoguang Liu
Southern Univ. of Science and Technology, Southern Univ. of Science and Technology, Southern Univ. of Science and Technology, Southern Univ. of Science and Technology, Southern Univ. of Science and Technology, Southern Univ. of Science and Technology, Qualcomm Technologies, Inc., Southern Univ. of Science and Technology
(08:40 - 09:00)
Abstract
We1D-4: Improved Performance in PMCW Radar Systems Through Equalization Using Predistortion and Postprocessing
Sebastian Peters, Samira Faghih-Naini, Robert Weigel, Torsten Reissland
Friedrich-Alexander-Univ. Erlangen-Nürnberg, Friedrich-Alexander-Univ. Erlangen-Nürnberg, Friedrich-Alexander-Univ. Erlangen-Nürnberg, Friedrich-Alexander-Univ. Erlangen-Nürnberg
(09:00 - 09:20)
Abstract
We1D-5: A fully Integrated Radar-Based True-Speed-Over-Ground Sensor for Highly Dynamic Road Vehicles
Nils Albrecht, Dominik Langer, Alexander Koelpin
Hamburg Univ. of Technology, Hamburg Univ. of Technology, Hamburg Univ. of Technology
(09:20 - 09:40)
Kamal Samanta
AMWT UK
Nicholas Kolias
Raytheon Company
Location
146C
Abstract

This session will cover numerous novel microwave packaging structures and applications up to sub-THz. The session will encompass a low-loss die-embedded 140 GHz InP power amplifier and an integrated radar transceiver for 61 GHz applications. The next session paper will introduce two feeding methodologies for a flip chip QFN package mmW slot bowtie antennas up to 220GHz. The session will close with a paper discussing embedded printed ring resonators for temperature sensing applications.

Abstract
We1E-1: A Low Loss Die-Embedded Glass Substrate for 140 GHz InP Power Amplifier Integration
Xiaofan Jia, Xingchen Li, Joon Woo Kim, Kyoung-Sik Moon, Mark Rodwell, Madhavan Swaminathan
Georgia Institute of Technology, Georgia Institute of Technology, Georgia Institute of Technology, Georgia Institute of Technology, Univ. of California, Santa Barbara, Pennsylvania State Univ.
(08:00 - 08:20)
Abstract
We1E-2: Integration Approach for Radar Transceiver MMICs with Integrated Antennas Enabling Adaptability to Customized Passive Frontend Design
Dominik Langer, Nils Albrecht, Frederike Bartels, Bartosz Tegowski, Alexander Koelpin
Hamburg Univ. of Technology, Hamburg Univ. of Technology, Hamburg Univ. of Technology, Hamburg Univ. of Technology, Hamburg Univ. of Technology
(08:20 - 08:40)
Abstract
We1E-3: Flip Chip-Enhanced QFN Package Millimeter-Wave Slot Bowtie Antenna Performance Using Two Feeding Methodologies
Oscar Medina, Aditya Nitin Jogalekar, Kannan Nambiar, Devan Iyer, Andrew Blanchard, Lu Hongbing, Rashaunda Henderson
Univ. of Texas at Dallas, Univ. of Texas at Dallas, Univ. of Texas at Dallas, Amkor Technology, Univ. of Texas at Dallas, Univ. of Texas at Dallas, Univ. of Texas at Dallas
(08:40 - 09:00)
Abstract
We1E-4: Embedded Printed Split Ring Resonators in Polymer Composites for Temperature Sensing
Miren Hayet-Otero, Izaskun Bustero, Leire Bilbao, Oihane Echeverria-Altuna, Jose Manuel Gonzalez
Tecnalia, Tecnalia, Tecnalia, Tecnalia, UPV/EHU
(09:00 - 09:20)
Dennis Lewis
Boeing
Glenn Hopkins
Georgia Institute of Technology
Location
147AB
Abstract

This session highlights advancements in low-altitude, stratospheric and low-earth orbit radiometers and communication systems.

Abstract
We1F-1: Direct-Detect 250/310 GHz Pseudo-Correlation Radiometer and Double-Sideband 380 GHz Sounder for Ice Cloud Sensing
Akim Babenko, Pekka Kangaslahti, Isaac Ramos, Mehmet Ogut, Caitlyn Cooke, William Deal
Jet Propulsion Lab, Jet Propulsion Lab, Jet Propulsion Lab, Jet Propulsion Lab, Northrop Grumman Corp., Northrop Grumman Corp.
(08:00 - 08:20)
Abstract
We1F-2: A Hybrid CMOS-InP W-Band Imaging Radiometer with Compact MetaSurface Antenna for UAV-Based Wildfire Imaging
Adrian Tang, Nacer Chahat, Gaurangi Gupta
Jet Propulsion Lab, NASA’s Jet Propulsion Lab, NASA Jet Propulsion Laboratory
(08:20 - 08:40)
Abstract
We1F-3: UAV-based Relays using Active Phased Arrays for Non-Line-of-Sight Millimeter-Wave Communications: Real-Time Field Testing
Xiaofei Zhang, Nita Esfarayeni, Ahmed Ben Ayed, Mohammad Abdollah Chalaki, Pouya Namaki, Huixin Jin, Slim Boumaiza
Univ. of Waterloo, Univ. of Waterloo, Univ. of Waterloo, Univ. of Waterloo, Univ. of Waterloo, Univ. of Waterloo, Univ. of Waterloo
(08:40 - 09:00)
Abstract
We1F-4: Prototype Design of Airborne Antenna System for HAPS Backhaul Networks Using 100GHz Band Frequency
Toshiyuki Nishibori, Nozomi Okada, Kimihiro kimura, Toshio Sato, Kazuhiko TAMESUE, Kunihisa Jitsuno, Takuro Sato, Tetsuya Kawanishi
Japanese Aerospace Exploration Agency, Japan Aerospace Exploration Agency, Japan Aerospace Exploration Agency, Waseda Univ., Waseda Univ., Waseda Univ., Waseda Univ., Waseda Univ.
(09:00 - 09:20)
Ki Shin
QORVO, Inc.
Peiling Chi
National Yang Ming Chiao Tung Univ.
Location
150AB
Abstract

In this session, we report exciting progress on integrated passive devices in GaN and Si technologies. For example, a novel high-power limiter based on GaN Schottky barrier diodes demonstrates a record 39-ns recovery time at 100 W. Two new GaN digital step attenuators exhibite an ultrawide bandwidth of 40-220 GHz. Meanwhile, a V-band voltage-controlled distributed attenuator based on 65-nm CMOS technology achieves an attenuation range higher than 1000 dB/mm2 for the first time. Finally, a new electrically balanced duplexer based on a tunable passive auto-transformer in the 65-nm CMOS technology demonstrates 30-dB isolation at 60 GHz with a 19-GHz bandwidth.

We1G-1: KEYNOTE: Advancements in Integrated Passive Circuits and Filters: A Decade of Technological Evolution
Ali Darwish
US Army CCD-C5ISR
(08:00 - 08:20)
Abstract
We1G-2: Record Fast Recovery Performance from Microwave High-Power Limiters with All-GaN SBD-MMIC Technology: 39ns@100W
Rikang Zhao, Xuanwu Kang, Yingkui Zheng, Hao Wu, Qiuen Li, Yuyan Huang, Jianjun Gao, Ke Wei, Xinyu Liu
Institute of Microelectronics, Institute of Microelectronics, Institute of Microelectronics, Institute of Microelectronics, Institute of Microelectronics, Institute of Microelectronics, East China Normal Univ., Institute of Microelectronics, Institute of Microelectronics
(08:20 - 08:40)
Abstract
We1G-3: Broadband G-Band GaN Digital Step Attenuators
Philipp Neininger, Fabian Thome, Denis Gebauer, Peter Brückner, Ruediger Quay
Fraunhofer IAF, Fraunhofer Institute for Applied Solid State Physics, Fraunhofer Institute for Applied Solid State Physics, Fraunhofer Institute for Applied Solid State Physics, Fraunhofer Institute for Applied Solid State Physics
(08:40 - 09:00)
Abstract
We1G-4: A 0.013-mm2 40-67-GHz Voltage-Controlled Distributed Attenuator with 1.9-dB Insertion Loss and Sub-6.1° Insertion Phase Imbalance
Xuhao Jiang, Qin Chen, Yuchen Liang, Lianming Li, Xiaohu You
Southeast Univ., Southeast Univ., Southeast Univ., Southeast Univ., Southeast Univ.
(09:00 - 09:20)
Abstract
We1G-5: An Ultra-Compact Wideband Tunable Autotransformer-Based Electrical-Balanced Duplexer with 46-70GHz 30dB Isolation Bandwidth
Yanir Schwartz, Emanuel Cohen
Technion - Israel Institute of Technology, Technion - Israel Institute of Technology
(09:20 - 09:40)
Damla Dimlioglu
Cornell Univ.
Mohammad Sadrabadi
Kyocera America, Inc.
Location
151AB
Abstract

State-of-the-art Ku-band to E-band millimeter wave VGAs and phase shifters in CMOS technology. Design methods include novel approaches in optimization and circuit techniques.

Abstract
We1H-1: A 22-to-37.8 GHz Low-Gain-Phase-Error Variable-Gain Amplifier With Impedance-Compensation Technique in 65-nm CMOS Process
Yiming Yu, Mengqian Geng, Sirui Peng, Junfeng Li, Chenxi Zhao, Huihua Liu, Yunqiu Wu, Kai Kang
Univ. of Electronic Science and Technology of China, Univ. of Electronic Science and Technology of China, Univ. of Electronic Science and Technology of China, Univ. of Electronic Science and Technology of China, Univ. of Electronic Science and Technology of China, Univ. of Electronic Science and Technology of China, Univ. of Electronic Science and Technology of China, Univ. of Electronic Science and Technology of China
(08:00 - 08:20)
Abstract
We1H-2: Design of Ku-Band Bi-directional Active Phase Shifter Enabling a Low RMS Error Utilizing Switch-less Staggered Core with the Identical In-out Matching
Uichan Park, Suk Hwangbo, Jinhyun Kim, Taeyeong Yoon, Jungsuek Oh
Seoul National Univ., Seoul National Univ., Seoul National Univ., Seoul National Univ., Seoul National Univ.
(08:20 - 08:40)
Abstract
We1H-3: A 57–71-GHz Accurate dB-Linear Variable Gain Power Amplifier with Ultralow Gain Error Using Particle Swarm Optimization Algorithm
Xuwei Li, Depeng Cheng, Xuhao Jiang, Yuchen Liang, Dongming Wang, Lianming Li
Southeast Univ., Purple Mountain Laboratories, Southeast Univ., Southeast Univ., Southeast Univ., Southeast Univ.
(08:40 - 09:00)
Abstract
We1H-4: A 29-48 GHz Variable Gain Low Noise Amplifier Using Active Load in 90-nm CMOS Process
Chih-Hsueh Lai, Yunshan Wang, Yuen-Sum Ng, Chau-Ching Chiong, Huei Wang
National Taiwan Univ., National Taiwan Univ., National Taiwan Univ., Academia Sinica, National Taiwan Univ.
(09:00 - 09:20)
Zhizhang Chen
Dalhousie University
Vladimir Okhmatovski
Univ. of Manitoba
Location
152AB
Abstract

Computational methods for the simulation of electromagnetic structures are indispensable for exploring new technologies and applications. This session presents significant advances that result in accelerated solvers of electrically large problems and robust multiphysics simulators, exploiting mathematical advances and machine learning.

Abstract
We1I-1: Parallel Fast Direct Error-Controlled Scattering Solutions via an H-Matrix-Accelerated Locally Corrected Nyström Method for the Combined Field Integral Equation
Omid Babazadeh, Jin Hu, Emrah Sever, Ian Jeffrey, Constantine Sideris, Vladimir Okhmatovski
Univ. of Manitoba, Univ. of Southern California, ASELSAN, INC., Univ. of Manitoba, Univ. of Southern California, Univ. of Manitoba
(08:00 - 08:20)
Abstract
We1I-2: Coupled Electromagnetic-Thermal Analysis for Temperature-Dependent Materials with Physics-Informed Neural Networks
Shutong Qi, Costas Sarris
Univ. of Toronto, Univ. of Toronto
(08:20 - 08:40)
Abstract
We1I-3: Numerical Demonstration of THz Traveling Wave Amplifications in 2DElectron Gas (2DEG) under Scattering-Free and Low-Charge DensityRegime
Shubhendu Bhardwaj, Md Faiyaz Bin Hassan
Univ. of Nebraska, Lincoln, Univ. of Nebraska, Lincoln
(08:40 - 09:00)
Abstract
We1I-4: A Novel Causal Method to Blend the DC and AC Solution Over the Entire Frequency Band
Peng Liu, Werner Thiel, Xin Xu, G. Kevin Zhu, Eric Bracken
ANSYS, Inc., ANSYS, Inc., ANSYS, Inc., ANSYS, Inc., ANSYS, Inc.
(09:00 - 09:20)
Abstract
We1I-5: Order Reduction using Laguerre-FDTD with Embedded Neural Network
Yifan Wang, Yiliang Guo, Rahul Kumar, Madhavan Swaminathan
Georgia Institute of Technology, Georgia Institute of Technology, Pennsylvania State Univ., Pennsylvania State Univ.
(09:20 - 09:40)

-

Mohamed Fahmi
Defence Research and Development Canada
Location
145AB
Abstract

Dr. Atia was a long-time contributor to the MTT-S in various capacities. Since 1969 he has been involved in research and development of a broad range of advanced microwave technologies for communication satellite transponders and antennas. Dr. Atia had seminal and fundamental contributions in the field of microwave filter synthesis and he was the recipient of the Pioneer Award of the IEEE Microwave Theory and Techniques Society (IEEE MTT-S) in 1997.

Dr. Zaki was a long-time contributor to the MTT-S in various capacities as well. She was the first female to graduate with a Ph. D. in Electrical Engineering from the University of California, Berkeley, and the first female professor to join the department of Electrical and Computer Engineering at the University of Maryland, College Park. There she led an academic life of more than four decades. There she supervised many Ph. D. students and contributed enormously to the field of Electromagnetic modelling of passive components namely microwave filters and multiplexers. Her original research on Dielectric Resonators and filters was fundamental in advancing the state of the art in Dielectric Resonator filters.

We2B-1: Dual Mode Canonical Waveguide Filters, A Look Back
Richard Snyder
RS Microwave
(10:10 - 10:30)
We2B-2: Modelling of Dielectric resonators and their Use in Microwave Filters
Raafat Mansour
Univ. of Waterloo
(10:30 - 10:50)
We2B-3: Pioneering Satellite Technologies and Microwave Filters
Amir Zaghloul
Army Research Office
(10:50 - 11:10)
We2B-4: The Filters that Fly
Hui-Wen Yao
AST SpaceMobile
(11:10 - 11:30)
We2B-5: Mode Matching Technique and its Applications
Jorge Ruiz-Cruz
Univ. Politecnica de Madrid
(11:30 - 11:50)
We2B-6: Modelling and Design of Ridge Waveguide Components
Mohamed Fahmi
Defence Research and Development Canada
(11:50 - 12:10)
Wing Shing Chan
City Univ. of Hong Kong
Anna Piacibello
Politecnico di Torino
Location
146A
Abstract

This session includes novel techniques to improve the performance of different power amplifier topologies in the 1GHz - 15GHz frequency band. Techniques for both narrow band and broadband will be presented. It will also cover both single and dual input power amplifies for transmitter architectures.

Abstract
We2C-1: A GaN-Based MMIC Doherty Power Amplifier With Class F Peaking Branch
Francesco Manni, Rocco Giofrè, Vittorio Camarchia, Anna Piacibello, Franco Giannini, Paolo Colantonio
Univ. of Rome Tor Vergata, Univ. of Rome Tor Vergata, Politecnico di Torino, Politecnico di Torino, Univ. of Rome Tor Vergata, Univ. of Rome Tor Vergata
(10:10 - 10:30)
Abstract
We2C-2: Compact Dual-Core Drive Stage using Three-winding Transformer for CMOS Broadband Power Amplifier
Joon-Hyung Kim, Jeong-Taek Son, Jae-Hyeok Song, Jae-Eun Lee, Min-Seok Baek, Jeong-Taek Lim, Han-Woong Choi, Seong-Mo Moon, Dongpil Chang, Choul-Young Kim
Chungnam National Univ., Chungnam National Univ., Chungnam National Univ., Chungnam National Univ., Chungnam National Univ., Chungnam National Univ., Samsung Electronics Co., Ltd., Electronics and Telecommunications Research Institute, Electronics and Telecommunications Research Institute, Chungnam National Univ.
(10:30 - 10:50)
Abstract
We2C-3: A 1.2 to 5.7GHz Multi-Mode Dual-Input Power Amplifier using a Novel Sigmoid-Function-Based Power Splitter
Takuma Torii, Ao Yamashita, Yuji Komatsuzaki, Shintaro Shinjo
Mitsubishi Electric Corp., Mitsubishi Electric Corp., Mitsubishi Electric Corp., Mitsubishi Electric Corp.
(10:50 - 11:10)
Abstract
We2C-4: High-Power BAW-Based FDD Front-End using Indirect-Duplexing Load Modulated Balanced Amplifier for Massive MIMO Array
Yuchen Cao, Shakthi Priya Gowri, Niteesh Bharadwaj Vangipurapu, Kenle Chen
QORVO, Inc., Univ. of Central Florida, Univ. of Central Florida, Univ. of Central Florida
(11:10 - 11:30)
Christian Waldschmidt
Ulm Univ.
Walter Wall
HRL Laboratories
Location
146B
Abstract

Distributed and multichannel radar systems have received significant attention in recent years owing to their ability to improve angular resolution and discrimination capabilities compared to traditional monostatic systems. The topics in this session range from wireless and digital synchronization of distributed radars, distributed repeaters for millimeter-wave imaging, and multichannel phased array radar.

Abstract
We2D-1: A 140 GHz FMCW Ultra Wideband High Dynamic Range RADAR Utilizing 8x8 Phased Arrays
Amr Ahmed, Linjie Li, Minjae Jung, Gabriel Rebeiz
Univ. of California, San Diego, Univ. of California, San Diego, Univ. of California, San Diego, Univ. of California, San Diego
(10:10 - 10:30)
Abstract
We2D-2: All-Digital Carrier Frequency Synchronization for Distributed Radar Sensor Networks
Russell Kenney, Jay McDaniel
Univ. of Oklahoma, Univ. of Oklahoma
(10:30 - 10:50)
Abstract
We2D-3: Fully Wireless Coherent Distributed Phased Array System for Networked Radar Applications
Jason Merlo, Samuel Wagner, John Lancaster, Jeffrey Nanzer
Michigan State Univ., Lawrence Livermore National Lab, Lawrence Livermore National Lab, Michigan State Univ.
(10:50 - 11:10)
Abstract
We2D-4: Specularity Resistant Millimeter-Wave Imaging with Distributed Repeater Apertures
Tasin Nusrat, Stavros Vakalis
Univ. of South Florida, Univ. of South Florida
(11:10 - 11:30)
Dominique Baillargeat
Univ. of Limoges
Debabani Choudhury
Intel Corp.
Location
146C
Abstract

This session will discuss recent advances in additive manufacturing for RF and millimeter wave applications. Presented topics will include 3D components and modules as well as novel material integration and 3D printing techniques as well as models for roughness prediction of additive manufacturing techniques.

Abstract
We2E-1: Beyond Planar: An Additively Manufactured, Origami-Inspired Shape-Changing, and RFIC-Based Phased Array for Near-Limitless Radiation Pattern Reconfigurability in 5G/mmWave Applications
Hani Al Jamal, Chenhao Hu, Nathan Wille, Kai Zeng, Manos M. Tentzeris
Georgia Institute of Technology, Georgia Institute of Technology, Georgia Institute of Technology, George Mason Univ., Georgia Institute of Technology
(10:10 - 10:30)
Abstract
We2E-2: Additively Manufactured Al2O3 W-band RFID Tag based on a Reflective 1D Photonic Crystal
Jesús Sánchez-Pastor, Kai-Daniel Jenkel, Marc Späth, Masoud Sakaki, Rolf Jakoby, Niels Benson, Alejandro Jiménez-Sáez
Technische Univ. Darmstadt, Univ. of Duisburg-Essen, Technische Univ. Darmstadt, Univ. of Duisburg-Essen, Technische Univ. Darmstadt, Univ. of Duisburg-Essen, Technische Univ. Darmstadt
(10:30 - 10:50)
Abstract
We2E-3: Electro-Thermal Modeling of AM-SLM based Cavity Resonators
Qazi Mashaal Khan, Dan Kuylenstierna
Chalmers Univ. of Technology, Chalmers Univ. of Technology
(10:50 - 11:10)
Abstract
We2E-4: 3D Screen Printing: Efficient Additive Manufacturing of Groove Gap Wave Guide Filters in D-band
Kay Reuter, Patrick Boe, Daniel Miek, Michael Höft, Thomas Studnitzky, Chongliang Zhong, Thomas Weissgärber, Isabel Olaya Leon
Fraunhofer Institute for Manufacturing Technology, Univ. of Kiel, Univ. of Kiel, Univ. of Kiel, Fraunhofer Institute for Manufacturing Technology, Fraunhofer Institute for Manufacturing Technology, Fraunhofer Institute for Manufacturing Technology, European Space Agency
(11:10 - 11:30)
Abstract
We2E-5: Additive Manufacturing of a Copper Elliptical Corrugated Horn Antenna in the Sub-Terahertz Regime
Kennet Braasch, Alexander Teplyuk, Daniel Miek, Jakob Scheibler, Thomas Weißgärber, Chongliang Zhong, Michael Höft
Univ. of Kiel, Kiel University, Univ. of Kiel, Fraunhofer Institute for Manufacturing Technology, Fraunhofer Institute for Manufacturing Technology, Fraunhofer Institute for Manufacturing Technology, Univ. of Kiel
(11:30 - 11:50)
Hermann Boss
Rohde & Schwarz GmbH & Co KG
Christian Carlowitz
Friedrich-Alexander-Univ. Erlangen-Nürnberg
Location
147AB
Abstract

The session addresses mixed-signal circuits and systems applied to ground penetrating radars to analyze sub-surfaces of planetary bodies, radio astronomy circuits for self-calibration, radiation-hardened and -tolerant synthesizers, and real-time digital linearization techniques for high-linearity power amplifiers.

We2F-1: KEYNOTE: Signal processing and mixed-signal circuit technologies for optical and wireless communication applications
Young-Kai Chen
Coherent Corp
(10:10 - 10:30)
Abstract
We2F-2: A 10-Bit DAC 3GS/s Interpolating DDFS for Distortion-Limited Long Acquisition Time FMCW Ground Penetrating Radars
Arhison Bharathan, Adrian Tang, Mau Chung Chang
Univ. of California, Los Angeles, Jet Propulsion Lab, Univ. of California, Los Angeles
(10:30 - 10:50)
Abstract
We2F-3: S-band Phase-locked Loop Frequency Synthesizer for Satellite Communication and Space Applications
Xinlin Xia, Yanjie Wang
South China Univ. of Technology, South China Univ. of Technology
(10:50 - 11:10)
Abstract
We2F-4: Microwave Frequency Comb Generator for Radio Astronomy Applications
Michael Toennies, Rabi Wang, William Diener, Andrey Matsko
Jet Propulsion Lab, Jet Propulsion Lab, Jet Propulsion Lab, Jet Propulsion Lab
(11:10 - 11:30)
Abstract
We2F-5: Update Time of a Closed-Loop Digital Pre-Distortion on an RF System-on-Chip for Reconfigurable Transmitters
Francesco Raimondo, Jiteng Ma, Mark Beach, Tommaso Cappello
Univ. of Bristol, Univ. of Bristol, Univ. of Bristol, Villanova Univ.
(11:30 - 11:50)
Abhishek Sahu
QORVO, Inc.
James Hwang
Cornell Univ.
Location
150AB
Abstract

Couplers are one of the most important microwave passive components and thus are ubiquitous in balanced amplifiers, mixers, and beam-forming networks for antenna arrays. This session presents the recent advancements in design methodologies for state-of-the-art couplers. Initially, novel design platforms including substrate- or metal-integrated suspended lines will be presented to reduce the dielectric and conductor losses in couplers. Next, investigations into architectures including multi-section transformers will be discussed to widen the coupler bandwidths. Finally, industrial coupler prototypes utilizing the InP HEMT MMIC process for highly-integrated chipsets will be presented. Together, these papers aim to build an ecosystem of next-generation couplers with attractive performance such as low loss and wide bandwidth.

We2G-1: KEYNOTE: The role of AI in Device Modeling and Characterization
Faramarz Kharabi
QORVO, Inc.
(10:10 - 10:30)
Abstract
We2G-2: A Low-Loss Millimeter-wave Fully-Differential Coupler Using Dual Patch on SISL Platform
Faxian Zhang, Yongqiang Wang, Kaixue Ma
Tianjin Univ., Tianjin Univ., Tianjin Univ.
(10:30 - 10:50)
Abstract
We2G-3: A Low-Loss 3-dB Coupler Using Metal-Integrated Suspended Line
Jixuan Ye, Yongqiang Wang, Kaixue Ma
Tianjin Univ., Tianjin Univ., Tianjin Univ.
(10:50 - 11:10)
Abstract
We2G-4: Transformer-Based Multisection Quadrature Coupler With 1.5 Octave Bandwidth Using GaAs-Based Integrated Passive Device Technology
Zhen-Ting Zhao, Hao-Shun Yang
National Taipei Univ. of Technology, National Taipei Univ. of Technology
(11:10 - 11:30)
Abstract
We2G-5: On-Chip Hybrid Couplers Enabling Highly Integrated MMIC Components at Millimeter and Submillimeter Wave Frequencies
Caitlyn Cooke, Maxwell Duffy, Mason Fordham, Michael Eller, Alfonso Escorcia, William Deal
Northrop Grumman Corp., Northrop Grumman Corp., Northrop Grumman Corp., Northrop Grumman Corp., Northrop Grumman Corp., Northrop Grumman Corp.
(11:30 - 11:50)
José Carlos Pedro
Instituto De Telecomunicacoes
Paul Draxler
MaXentric Technologies, LLC
Location
151AB
Abstract

This session reports on recent advancements of RF power amplifier linearity and efficiency enhancements techniques.

We2H-1: KEYNOTE: 5G Industry Insights: Innovations in PA and Transmitter Architectures with a Vision for 6G/FR-3 Band Evolution
Rui Ma
pSemi
(10:10 - 10:30)
Abstract
We2H-2: A Baseband Impedance Cancellation Technique For WidebandMulti-Transistor Amplifiers
Indy van den Heuvel, Steve Cripps, Roberto Quaglia, Paul Tasker, Mark Omisakin-Edwards, Ehsan Azad
Cardiff University, Cardiff University, Cardiff University, Cardiff University, Compound Semiconductor Applications (CSA) Catapult, Compound Semiconductor Applications (CSA) Catapult
(10:30 - 10:50)
Abstract
We2H-3: A Robust Search Algorithm of Optimal Driving Signals for Dual-Input High Power Amplifiers
Filipe Barradas, Luis Nunes, Jose Pedro, Christophe Erdmann
Instituto De Telecomunicacoes, Instituto De Telecomunicacoes, Instituto De Telecomunicacoes, AMD Ireland
(10:50 - 11:10)
Abstract
We2H-4: A Tri-Branch Analog Pre-Distortion Linearizer for the Compensation of Gain Inflection in Doherty Power Amplifiers
Alex Pitt, Mark Beach, Tommaso Cappello
Univ. of Bristol, Univ. of Bristol, Villanova Univ.
(11:10 - 11:30)
Abstract
We2H-5: A Method for Designing a Linear, Efficient 2-Stage GaN PA for Supply Modulation
Morten Olavsbråten, Anders Hagen
NTNU Norway, Norwegian Univ. of Science and Technology
(11:30 - 11:50)
Costas Sarris
Univ. of Toronto
Werner Thiel
ANSYS, Inc.
Location
152AB
Abstract

This session will feature the design of novel microwave/mm-wave waveguide based components and metasurfaces and the advanced characterization of thermal and twisting effects in dielectric waveguides for mm-wave applications.

Abstract
We2I-1: Electronic Control of Structural Asymmetry for Tunable Nonreciprocal Phase Shift in CRLH Transmission Lines
Hidefumi Yasuda, Tetsuya Ueda
Kyoto Institute of Technology, Kyoto Institute of Technology
(10:10 - 10:30)
Abstract
We2I-2: A 3D-printed millimeter-wave free-form metasurface based on automatic differentiable inverse design
Yi Huang, Hong Tang, Huan Zhao, Yunxi Dong, Bowen Zheng, Hualiang Zhang
Univ. of Massachusetts, Lowell, Univ. of Massachusetts, Lowell, Univ. of Massachusetts, Lowell, Univ. of Massachusetts, Lowell, Univ. of Massachusetts, Lowell, Univ. of Massachusetts, Lowell
(10:30 - 10:50)
Abstract
We2I-3: A Modified Gradient Model to Determine Surface Impedance from Measured Roughness Profiles with Printed Circuit Board Emphasis
Felix Sepaintner, Andreas Scharl, Johannes Jakob, Franz Roehrl, Werner Bogner, Stefan Zorn
Deggendorf Institute of Technology, Rohde & Schwarz GmbH & Co KG, Deggendorf Institute of Technology, Rohde & Schwarz GmbH & Co KG, Deggendorf Institute of Technology, Rohde & Schwarz GmbH & Co KG
(10:50 - 11:10)
Abstract
We2I-4: A Plasma-Based Absorptive and High-Power Waveguide Limiter
krushna kanth varikuntla, Md Tanvir Ahmed, Abbas Semnani
Univ. of Toledo, THE UNIVERSITY OF TOLEDO, Univ. of Toledo
(11:10 - 11:30)
Abstract
We2I-5: Electromagnetic Stability Characterization of Millimeter-Wave Dielectric Fibers at Extremely High-Temperatures: Enabling Harsh Environment Communication and Sensing
Abhishek Sharma, Yanghyo Kim
Stevens Institute of Technology, Stevens Institute of Technology
(11:30 - 11:40)
Abstract
We2I-6: Twisting Effects on X-shaped Millimeter-wave Plastic Waveguides
Samir LAGOUG, Anthony Ghiotto, Eric Kerhervé
Univ. of Bordeaux, Univ. of Bordeaux, Univ. of Bordeaux
(11:40 - 11:50)

-

José Rayas Sanchez, Qi-Jun Zhang
ITESO - The Jesuit Univ. of Guadalajara, Carleton Univ.
Location
145AB
Abstract

This memorial session will pay tribute to Professor John W. Bandler by recognizing his seminal contributions and visionary perspective on CAD techniques for RF and microwave modeling and automated design optimization. Invited outstanding collaborators will provide an overview of Prof. Bandler’s main technical achievements and contributions, combined with personal anecdotes and photos highlighting memorable events and interactions. Joint technical developments to be reviewed include analysis methods for the response sensitivities of microwave circuits, including efficient electromagnetics (EM)-based S-parameters sensitivity calculations for accurate design and image-reconstruction; the port‐tuning version of space mapping for efficient EM-based analysis and design; cognition-driven design for microwave filter optimization; advanced industrial microwave design problems in satellite systems; space mapping techniques for efficient post-manufacture tuning of microwave hardware; smart combination of artificial neural networks and space mapping for EM-based design optimization, statistical analysis, and yield optimization of microwave circuits; as well as interesting analogies between space mapping and artificial intelligence (AI) approaches. Potential future directions will also be ventured regarding the relationship between cognitive science and engineering design, involving promising deeper integration of artificial intelligence, machine learning, and space mapping.

Abstract
We3B-1: Remembering John W. Bandler - A Maverick for All Seasons
Wolfgang J.R. Hoefer
Univ. of Victoria
(13:30 - 13:40)
Abstract
We3B-2: Working With The Bandler
James Rautio
Sonnet Software, Inc.
(13:40 - 13:50)
Abstract
We3B-3: John Bandler’s Contributions to Sensitivity Analysis: A Cornerstone of Design and Imaging Methodologies
Natalia Nikolova
McMaster Univ.
(13:50 - 14:00)
Abstract
We3B-4: Cognition-driven Design for Microwave CAD
Qi-Jun Zhang
Carleton Univ.
(14:00 - 14:10)
Abstract
We3B-5: Advanced Design of Microwave Devices for Space Applications - A Tribute to Prof. John Bandler
Ming Yu
Southern Univ. of Science and Technology
(14:10 - 14:20)
Abstract
We3B-6: On the Advanced Use of Space Mapping Techniques with Passive Microwave Components for Space Applications (in grateful memory of Prof. Bandler)
Vicente Boria-Esbert
Univ. Politècnica de València
(14:20 - 14:30)
Abstract
We3B-7: Neural Space Mapping as a Pioneering Artificial Intelligence approach to Microwave Modeling and Design
José Rayas-Sánchez
ITESO - The Jesuit Univ. of Guadalajara
(14:30 - 14:40)
Abstract
We3B-8: Space Mapping - A Gateway to Explainable AI
Qingsha Cheng
Southern Univ. of Science and Technology
(14:40 - 14:50)
Yulong Zhao
Skyworks Solutions, Inc.
Chenyu Liang
QORVO, Inc.
Location
146A
Abstract

This session presents >10W load-modulated power amplifiers focusing on broad bandwidth and wide output back-off power ranges. The session begins with a keynote presentation on stability analysis, critical for any high-power design. Examples of both hybrid and MMIC power amplifiers will be discussed.

We3C-1: KEYNOTE: Stability Analysis Methods for Microwave Power Amplifiers:  A Modern Perspective
Thomas Winslow
Macom
(13:30 - 13:50)
Abstract
We3C-2: Design and Characterization of an MMIC Current Mode Outphasing Power Amplifier
Aleksander Bogusz, Wantao Li, Jonathan Lees, Roberto Quaglia, Gabriel Montoro, Pere L. Gilabert, Steve Cripps
Cardiff University, Univ. Politècnica de Catalunya, Cardiff University, Cardiff University, Univ. Politècnica de Catalunya, Univ. Politècnica de Catalunya, Cardiff University
(13:50 - 14:10)
Abstract
We3C-3: Decade-Bandwidth RF-Input Pseudo-Doherty Load Modulated Balanced Amplifier using Signal-Flow-Based Phase Alignment Design
Pingzhu Gong, Jiachen Guo, Niteesh Bharadwaj Vangipurapu, Kenle Chen
Univ. of Central Florida, Univ. of Central Florida, Univ. of Central Florida, Univ. of Central Florida
(14:10 - 14:30)
Abstract
We3C-4: Mode Extension of Load-Modulated Balanced Amplifier with Enhanced Efficiency
Jieen Xie, Kwok-Keung Michael Cheng, Pengyu Yu, Xiaohu Fang
Chinese Univ. of Hong Kong, Chinese Univ. of Hong Kong, Chinese Univ. of Hong Kong, Southern Univ. of Science and Technology
(14:30 - 14:50)
Abstract
We3C-5: A 3.2–4.2 GHz Wideband 47 dBm GaN HEMT Sequential-LMBA with Harmonic Tuned Using CRLH Transmission Line Stub
Hirotaka Asami, Takashi Sumiyoshi, Hiroshi Yamamoto, Takashi Maehata
Sumitomo Electric Industries, Ltd., Sumitomo Electric Industries, Ltd., Sumitomo Electric Industries, Ltd., Sumitomo Electric Industries, Ltd.
(14:50 - 15:10)
Changzhan Gu
Shanghai Jiao Tong Univ.
Kazuya Yamamoto
Mitsubishi Electric Corp.
Location
146B
Abstract

This session focuses on the recent advancements in radar sensing technologies and their various applications, which include the innovative radar structure, heart rate sensing, and interferometric sensing of moving objects.

Abstract
We3D-1: Radar Based Heart Rate Sensing on the Smart Glasses
Irene Wei Huang, Paurakh Rajbhandary, Sam Shiu, John Ho, Jiang Zhu, Ben Wilson, Geng Ye
Facebook, Facebook, Facebook, Facebook, Facebook, Facebook, Facebook
(13:30 - 13:50)
Abstract
We3D-2: A Cost-Effective Single-Channel Displacement Measurement Technique Without Down-Conversion Using Low-IF Doppler Radar
Zhiwei Zhang, Fei Tong, Jiayu Zhang, Changzhan Gu
Shanghai Jiao Tong Univ., Shanghai Jiao Tong Univ., Shanghai Jiao Tong Univ., Shanghai Jiao Tong Univ.
(13:50 - 14:10)
Abstract
We3D-3: Measurement of the Radial and Angular Velocity of Tagged Objects Using Interferometric Harmonic Micro-Doppler Radar
Cory Hilton, Jeffrey Nanzer
Michigan State Univ., Michigan State Univ.
(14:10 - 14:30)
Abstract
We3D-4: Accurate Representation of the Rolling Motion for the Self-Rolled-Up Inductor with Radar Interferometry
Keke Zheng, Yue Wu, Wei Xu, Changzhan Gu, Junfa Mao
Shanghai Jiao Tong Univ., Fudan Univ., Shanghai Jiao Tong Univ., Shanghai Jiao Tong Univ., Shanghai Jiao Tong Univ.
(14:30 - 14:50)
Abstract
We3D-5: Interferometric Approaches for Accurate Location and Displacement Measurement Using Passive Frequency-Doubling Reflectennas
Ismail Uluer, Jeff Frolik, Thomas Weller
Oregon State Univ., University Of Vermont, Oregon State Univ.
(14:50 - 15:10)
Xun Luo
Univ. of Electronic Science and Technology of China
Li Yang
Univ. of Alcala
Location
147AB
Abstract

This session will present recent advances in planar filter design. Specifically it will cover novel design methodologies for balanced filters, multi-functional filters and substrate integrated lumped-element filters. New modeling techniques for non-reciprocal filters will also be presented.

Abstract
We3F-1: Balanced Flat-Group-Delay RF Low-Pass Filter With Differential-Mode Input-Quasi-Reflectionless Behavior for Digital-Communication Systems
Zekai Luo, Li Yang, Tao Su, Roberto Gómez-García
Sun Yat-sen Univ., Univ. of Alcala, Sun Yat-sen Univ., Univ. of Alcala
(13:30 - 13:50)
Abstract
We3F-2: RF Balanced-to-Single-Ended Out-of-Phase/3-dB Filtering Power Divider With Differential-Mode Input-Quasi-Reflectionless Behavior
Xi-Bei Zhao, Feng Wei, Li Yang, Roberto Gómez García
Xidian Univ., Xidian Univ., Univ. of Alcala, Univ. of Alcala
(13:50 - 14:10)
Abstract
We3F-3: FDTD Modeling of Time-Modulated Resonators-Based Bandpass Filters using Modified Telegrapher’s Equations
Anand Kumar, Zixiao Zhang, Debdeep Sarkar, Symeon Nikolaou, Photos Vryonides, Dimitra Psychogiou
Indian Institute of Science, Tyndall National Institute, Indian Institute of Science, Frederick Research Center, Frederick Research Center, Tyndall National Institute
(14:10 - 14:30)
Abstract
We3F-4: Compact, Multilayer 5G Filter Based on Extracted-Pole Substrate Integrated Coaxial Line Resonators
Yan Zheng, Yuandan Dong
Univ. of Electronic Science and Technology of China, University of Electronic Science and Technology of
(14:30 - 14:50)
Abstract
We3F-5: Multifunctional Bandpass Filter With Tunable Attenuation and Quasi-Reflectionless Behaviour
Adnan Nadeem, Symeon Nikolaou, Dimitra Psychogiou, Photos Vryonides
Frederick University, Cyprus, Frederick Research Center, Univ. College Cork, Frederick Research Center
(14:50 - 15:10)
Anthony Ghiotto
Univ. of Bordeaux
Jason Soric
Raytheon Company
Location
150AB
Abstract

This session focuses on innovative approaches to the implementation of practical circuits which include non-magnetic circulators, modulators, switches, mixers, and multiplexers.

Abstract
We3G-1: A Novel RF Hilbert Transformer Single Sideband Mixer
Hao Yan, Hanxiang Zhang, Powei Liu, Saeed Zolfaghary Pour, Jonathan Casamayor, Mitch Plaisir, Bayaner Arigong
Florida State Univ., Florida State Univ., Florida State Univ., Florida A&M University, Florida State Univ., Florida State Univ., Florida A&M University
(13:30 - 13:50)
Abstract
We3G-2: A Wideband 4-Port Gyrator-Based Circulator in 0.15μm GaN MMIC
Armagan Dascurcu, Nusrat Jahan, Harish Krishnaswamy
Columbia Univ., Columbia Univ., Columbia Univ.
(13:50 - 14:10)
Abstract
We3G-3: Dual-Channel Half-Mode Substrate-Integrated Waveguide Link Utilizing Mode Division Multiplexing
Mohamed Elsawaf, Constantine Sideris
Univ. of Southern California, Univ. of Southern California
(14:10 - 14:30)
Abstract
We3G-4: A Novel Microwave Modulator Based on Complex Impedance Loads
Alejandro Venere, Ramon Lopez La Valle, Martin Hurtado
Instituto Balseiro, Universidad Nacional de La Plata, Universidad Nacional de La Plata
(14:30 - 14:50)
Abstract
We3G-5: A 94-GHz Absorptive SP4T Switch with Pad Parasitic Cancellation
Yun-Chien Tseng, Chien-Nan Kuo
National Yang Ming Chiao Tung Univ., National Yang Ming Chiao Tung Univ.
(14:50 - 15:00)
Anding Zhu
Univ. College Dublin
Pere L. Gilabert
Univ. Politècnica de Catalunya
Location
151AB
Abstract

This session addresses digital signal processing algorithms for wireless transmitter linearization and power amplifier behavioral modeling.

We3H-1: KEYNOTE: Role of AI/ML in Linearization for Next G Wireless
Kevin Chuang
Analog Devices, Inc.
(13:30 - 13:50)
Abstract
We3H-2: Adaptive Kernel Function Sharing for Digital Predistortion of RF Power Amplifiers With Dynamic Resource Block Allocation
Hang Yin, Anding Zhu
Univ. College Dublin, Univ. College Dublin
(13:50 - 14:10)
Abstract
We3H-3: A Low-complexity DPD Coefficient Update Method for Varying Transmission Configurations
Tianyang Zhong, Jun Peng, Songbai He, Yuchen Bian, Xinyu Wang, Yijie Tang, Bo Pang
Univ. of Electronic Science and Technology of China, Univ. of Electronic Science and Technology of China, National Research Univ. of Electronic Technology, Univ. of Electronic Science and Technology of China, Univ. of Electronic Science and Technology of China, Univ. of Electronic Science and Technology of China, Univ. of Electronic Science and Technology of China
(14:10 - 14:30)
Abstract
We3H-4: Behavioral Modeling of Millimeter Wave GaN Power Amplifiers for 6G Integrated Sensing and Communications Application
Yucheng Yu, Luqi Yu, Peng Chen, Chao Yu
Southeast Univ., Southeast Univ., Southeast Univ., Southeast Univ.
(14:30 - 14:50)
Abstract
We3H-5: On the Parameter Identification of Cascaded Behavioral Models for Wideband Digital Predistortion Linearization
Raúl Criado, Wantao Li, William Thompson, Gabriel Montoro, Kevin Chuang, Pere L. Gilabert
Univ. Politècnica de Catalunya, Univ. Politècnica de Catalunya, Analog Devices, Inc., Univ. Politècnica de Catalunya, Analog Devices, Inc., Univ. Politècnica de Catalunya
(14:50 - 15:10)
Da Huang
MathWorks, Inc.
David Jackson
Univ. of Houston
Location
152AB
Abstract

This session includes advances in numerical and analytic techniques for modeling of diverse microwave components and systems, as well as hybrid field/circuit and multiphysics simulations. Advanced numerical methods that enable novel measurement techniques are also given, including near-to-far field transformations for predicting antenna patterns by sampling in the near field over an arbitrary-shaped surface surrounding the antenna. Simulation methodologies for signal integrity prediction in high-speed links are also included.

We3I-1: KEYNOTE: Spectrum of Insights with Advanced Engineering Simulation
Larry Williams
ANSYS, Inc.
(13:30 - 13:50)
Abstract
We3I-2: Analytic Differential Admittance Operator Solution of a Dielectric Sphere under Radial Dipole Illumination
Martijn Huynen, Daniël De Zutter, Dries Vande Ginste, Vladimir Okhmatovski
Ghent Univ., Ghent Univ., Ghent Univ., Univ. of Manitoba
(13:50 - 14:10)
Abstract
We3I-3: A rigorous 3D near to far field transformation when only an electric or magnetic field is available
Jose Maria Tamayo Palau, Andrew Mathis, Werner Thiel
ANSYS, Inc., ANSYS, Inc., ANSYS, Inc.
(14:10 - 14:30)
Abstract
We3I-4: Integrated Distributed Equivalent Circuit Model of PCIe 5.0 Connector with AIC and Baseboard Loading Resonances for Fast SI Diagnosis
Yulin He, Kewei Song, Milton Feng
Univ. of Illinois at Urbana-Champaign, Univ. of Illinois at Urbana-Champaign, Univ. of Illinois at Urbana-Champaign
(14:30 - 14:50)
Abstract
We3I-5: Optically-Transparent FSS for Outdoor-to-Indoor Transmission Improvement featuring Electromagnetic-Thermal Co-Analysis
YOUNGNO YOUN, Cheonga Lee, Daehyeon Kim, Donggeun An, Ahmed Omar, WONBIN HONG
Pohang Univ. of Science and Technology, Pohang Univ. of Science and Technology, Pohang Univ. of Science and Technology, Pohang Univ. of Science and Technology, King Fahd Univ. of Petroleum and Mines, Pohang Univ. of Science and Technology
(14:50 - 15:10)

-

Matt Morgan
NRAO
Location
Exhibit Hall
Abstract
IF1-1: 57-GHz Low-Power Subharmonic Parametric Downconverter Exploiting Capacitance Nonlinearity in SiGe BiCMOS
Paula Palacios, Mohamed Saeed Elsayed, Renato Negra
RWTH Aachen Univ., InCirT GmbH, RWTH Aachen Univ.
(15:10 - 15:30)
Abstract
IF1-2: An X-band Phase Noise Canceling Feedforward Amplifier in InP 250 nm HBT Process
Pedram Shirmohammadi, Samin Hanifi, Steven Bowers
Univ. of Virginia, Univ. of Virginia, Univ. of Virginia
(15:30 - 15:50)
Abstract
IF1-3: A D-band Traveling-Wave Amplifier by Embedding GaN HEMTs as Current Probes in a SiC SIW
Lei Li, Tianze Li, Patrick Fay, James C. M. Hwang
Cornell Univ., Cornell Univ., Univ. of Notre Dame, Cornell Univ.
(15:50 - 16:10)
Abstract
IF1-4: Scalable GaN-Based 64-Element Circularly-Polarized Transceiver with 65-dBm Saturated EIRP for Millimeter-wave CubeSat Applications
Yi-Fan Tsao, Arpan Desai, Heng-Tung Hsu
National Yang Ming Chiao Tung Univ., National Yang Ming Chiao Tung Univ., National Yang Ming Chiao Tung Univ.
(16:10 - 16:30)
Abstract
IF1-5: Experimental Study of Transition Loss of on-chip SIW Interconnects and Transmission Lines using Two De-embedding Reference Planes in 200 GHz Band Frequency
Samundra Thapa, Ramesh Pokharel, Adel Barakat, Shuhei Amakawa, Mohamed Mubarak, Shinsuke Hara, Issei Watanabe, Akifumi Kasamatsu
Kyushu Univ., Kyushu Univ., Kyushu Univ., Hiroshima Univ., National Institute of Information and Communications Technology, National Institute of Information and Communications Technology, National Institute of Information and Communications Technology, National Institute of Information and Communications Technology
(16:30 - 16:50)
Abstract
IF1-6: A 5.2-GHz Area-Efficient RF Front-End with 2.79x PAE Enhancement at 7.7-dB Power Back-Off
Teng-Shen Yang, Wei-Wen Wang, Po-Yao Hsu, Liang-Hung Lu
National Taiwan Univ., National Taiwan Univ., National Taiwan Univ., National Taiwan Univ.
(16:50 - 17:10)
Abstract
IF1-7: Consistent Q(v)-I(v) AlGaN/GaN HEMT Nonlinear Equivalent-Circuit Modeling
Jose Pedro, Luis Nunes
Instituto De Telecomunicacoes, Instituto De Telecomunicacoes
(17:10 - 17:30)
Abstract
IF1-8: Practical Considerations for RF Measurements of Cryogenic CMOS Circuits for Quantum Computing
Daniil Frolov, Sudipto Chakraborty, Devin Underwood, Joseph Glick, JOHN TIMMERWILKE, Ray Robertazzi, Ken Inoue, Mark Yeck, Pat Rosno, Bryce Snell, Daniel Moertl, Scott Lekuch, Christopher DeSantis, Kevin Tien, Jean-Olivier Plouchart, David Frank, Dorothy Wisnieff, John Bulzacchelli, Chris Baks, Daniel Friedman, Brian Gaucher
IBM Corp., IBM Corp., IBM Corp., IBM Corp., IBM T.J. Watson Research Center, IBM Corp., IBM Corp., IBM Corp., IBM Corp., IBM Corp., IBM Corp., IBM Corp., IBM Corp., IBM T.J. Watson Research Center, IBM Corp., IBM Corp., IBM Corp., IBM Corp., IBM Corp., IBM Corp., IBM Corp.
(17:30 - 17:50)
Abstract
IF1-9: Rapid Calibration of Variable Gain Phase Shifters: A Novel Characterization Approach with Sparse Measurements
Yuxuan Chen, Slim Boumaiza
Univ. of Waterloo, Univ. of Waterloo
(17:50 - 18:10)
Abstract
IF1-10: A 0.9 to 4.0 GHz High Efficiency Reactively-Matched GaN Power Amplifier MMIC
Jun Kamioka, Hirotaka Sato, Shinichi Miwa, Yoshitaka Kamo, Shintaro Shinjo
Mitsubishi Electric Corp., Mitsubishi Electric Corp., Mitsubishi Electric Corp., Mitsubishi Electric Corp., Mitsubishi Electric Corp.
(18:10 - 18:30)
Abstract
IF1-12: Rigorous Approach to the Coupling Matrix Synthesis Problem Based on Geometric Interpretation
Seungjun Lee, Jongheun Lee, Juseop Lee
Korea Univ., Korea Univ., Korea Univ.
(18:30 - 18:50)
Abstract
IF1-13: A Novel Wideband Power Amplifier Enhanced Through Controlled Prescribed Transmission Zeros by Coupling Block
Sergio López de Pablo, Jordi Verdú, Pedro de Paco
Univ. Autònoma de Barcelona, Univ. Autònoma de Barcelona, Univ. Autònoma de Barcelona
(18:50 - 19:10)
Abstract
IF1-14: A Low-Complexity Harmonic Technique for RF Power Amplifiers
Xinyu Wang, Jun Peng, Songbai He, Bo Pang, Tianyang Zhong, Yijie Tang, Haiqian Tang
Univ. of Electronic Science and Technology of China, Univ. of Electronic Science and Technology of China, Univ. of Electronic Science and Technology of China, Univ. of Electronic Science and Technology of China, Univ. of Electronic Science and Technology of China, Univ. of Electronic Science and Technology of China, Univ. of Electronic Science and Technology of China
(19:10 - 19:30)
Abstract
IF1-15: Machine-Learning Assisted Digital Predistortion Using Feedback via Dual-Polarized Antenna Arrays
Yuuichi Aoki, Yonghoon Kim, Heedo Kang, Wonki Kim, Kihong Min, Sung-Gi Yang
Samsung Electronics Co., Ltd., Samsung Electronics, Co., Ltd., Samsung Electronics Co., Ltd., Samsung Electronics Co., Ltd., Samsung Electronics Co., Ltd., Samsung Electronics Co., Ltd.
(19:30 - 19:50)
Abstract
IF1-16: A Fully Additively Manufactured Reconfigurable Millimeter-Wave Bandpass Filter Based on VO2 Dielectric Layer
Hong Tang, Powei Liu, Shiqi Li, Bowen Zheng, Huan Zhao, Yunxi Dong, Yi Huang, Jie Li, Bayaner Arigong, Hualiang Zhang
Univ. of Massachusetts, Lowell, Florida A&M University-Florida State University, Yunnan Precious Metal Laboratory Co., Ltd, Univ. of Massachusetts, Lowell, Univ. of Massachusetts, Lowell, Univ. of Massachusetts, Lowell, Univ. of Massachusetts, Lowell, Argonne National Lab, Florida State Univ., Univ. of Massachusetts, Lowell
(19:50 - 20:10)
Abstract
IF1-17: Measurement of Multiband Complex Permittivity by Perturbation Insertion Method
Qin Shi, Qinxing Chu, Fuchang Chen
South China Univ. of Technology, South China Univ. of Technology, South China Univ. of Technology
(20:10 - 20:30)
Abstract
IF1-18: Enhanced In-Band Self-Interference Suppression by Combining Bandpass Filter-Based RF Cancellers and Dual-Polarized Antennas
Kevin Martin, Dimitra Psychogiou
Univ. College Cork, Univ. College Cork
(20:30 - 20:50)
Abstract
IF1-19: A Millimeter-Wave “Quasi-Reflectionless” Filter Prototype Implemented with Micromachined Silicon
Noah Sauber, Matthew Bauwens, Michael Cyberey, Arthur Lichtenberger, Scott Barker, Robert Weikle
Univ. of Virginia, Dominion MicroProbes, Inc., Univ. of Virginia, Univ. of Virginia, Univ. of Virginia, Univ. of Virginia
(20:50 - 21:10)
Abstract
IF1-20: A Quantum Model for a Graphene Josephson Junction Parametric Amplifier for Quantum-Noise-Limited Microwave Amplification
Yongjie Yuan, Özüm Aşırım, Michael Haider, Christian Jirauschek
Tech. Univ. of Munich, Tech. Univ. of Munich, Tech. Univ. of Munich, Tech. Univ. of Munich
(21:10 - 21:30)
Abstract
IF1-21: A Millimeter-Wave Low-Loss On-chip Filter Design Using A Wideband Synthesis Method in 90-nm SiGe BiCMOS Process
Xiaolong Huang, Zheng Liu, Emir Ali Karahan, Kaushik Sengupta
Princeton Univ., Princeton Univ., Princeton Univ., Princeton Univ.
(21:30 - 21:50)
Abstract
IF1-22: Design and Analysis of SPDT Switch and Array Antenna for 28 GHz 5G New Radio
Chung-Ta Huang, Yo-Sheng Lin, Chin-Yi Huang, Kai-Siang Lan
National Chi Nan Univ., National Chi Nan Univ., National Chi Nan Univ., National Chi Nan Univ.
(21:50 - 22:10)
Abstract
IF1-23: Wi-Fi SIMO Radar for Deep Learning-Based Sign Language Recognition
Yi-Chen Lai, Pin-Yu Huang, Tzyy-Sheng Horng
National Sun Yat-sen Univ., National Sun Yat-sen Univ., National Sun Yat-sen Univ.
(22:10 - 22:30)
Abstract
IF1-24: Compact, Low Loss 4-Bit Ku-band Hybrid Passive Phase Shifter Realized in 0.13-μm SiGe HBT BiCMOS for LEO SATCOM
Sunghyuk Kim, Ki Woong Choi, Byeongcheol Yoon, Junghyun Kim, Inchan Ju
Hanyang Univ., Ajou Univ., Hanyang Univ., Hanyang Univ., Ajou Univ.
(22:30 - 22:50)
Abstract
IF1-25: Topology Optimization of Microwave Filters Based on Direct Computation of Poles and Zeros
Matteo Oldoni, Youssef Elhouchy, Giuseppe Macchiarella, Gian Guido Gentili
Politecnico di Milano, Politecnico di Milano, Politecnico di Milano, Politecnico di Milano
(22:50 - 23:10)
Abstract
IF1-26: A Monolithic X-Band 32 dBm GaAs HBT Power Amplifier with Efficient Operation Over a Wide Range of Power Supply Voltages
Peter Asbeck, Sravya Alluri, Jyun-Hao Li, Jung-Tao Chung
Ucsd, Univ. of California, San Diego, WIN Semiconductors Corp., WIN Semiconductors Corp.
(23:10 - 23:30)
IF1-27: A Ku-band Internally Matched 50W GaN HEMT Power Amplifier Using Advanced Cu-Mo-Cu Heat sink
Yunsik Park, Jin Young Jeong, Wonshil Kang, Minsoo Park, Dongsu Kim
Korea Electronics Technology Institute, RF-Materials CO., RF-Materials CO., Korea Electronics Technology Institute, Korea Electronics Technology Institute
(23:30 - 23:50)
Abstract
IF1-28: 938Gb/s, 145-GHz-bandwidth Wireless Transmission Over the Air Using Combined Electronic and Photonic-assisted Signal Generation
Zichuan Zhou, Amany Kassem, James Seddon, Eric Sillekens, Izzat Darwazeh, Polina Bayvel, Zhixin Liu
Univ. College London, Univ. College London, Univ. College London, Univ. College London, Univ. College London, Univ. College London, Univ. College London
(23:50 - 00:10)
Abstract
IF1-29: Recursive Neural Network with Phase-Normalization for Modeling and Linearization of RF Power Amplifiers
Arne Fischer-Bühner, Lauri Anttila, Manil Dev Gomony, Mikko Valkama
Nokia-Bell Labs, Tampere Univ., Nokia-Bell Labs, Tampere Univ.
(00:10 - 00:30)
Abstract
IF1-30: Improve RF Dual Probe Calibration Accuracy with Peer-Terminated Standards
Hung Che Fu, Kooho Jung
MPI Corp., MPI Corp.
(00:30 - 00:50)
Abstract
IF1-31: Various RF Substrate Solutions for 22 nm FD-SOI Technology Targeting Cryogenic Applications
Martin Vanbrabant, Martin Rack, Dimitri Lederer, Valeriya Kilchytska, Jean-Pierre Raskin
Université catholique de Louvain, Université catholique de Louvain, Université catholique de Louvain, Université catholique de Louvain, Université catholique de Louvain
(00:50 - 01:10)
Abstract
IF1-32: Innovative Development Approach for a High-Power 8-Way Coaxial Radial Combiner
Mohamed Mamdouh M. Ali, Syed M. Sifat, Mahmoud Elsaadany, Shoukry I. Shams, Ke Wu
Scientific Microwave Corp., Scientific Microwave Corporation, Ecole de technologie superieure, Concordia Univ., Polytechnique Montreal
(01:10 - 01:30)
Abstract
IF1-33: Additively Manufactured High-Power Light Weight Millimetre-Wave Band Pass Filter Optimized with AI Tuning Algorithm for 5G Space Applications
Laila Salman, Diamond Liu, Sunil Acharya, Loren Vancleef, Koen Huybrechts, gada saad, MOhamed Ali
ANSYS, Inc., Synmatrix Technologies Inc., ANSYS, Inc., 3D Systems, 3D Systems, SMCQ, SMCQ
(01:30 - 01:50)
Abstract
IF1-34: A ROM-Less DDS with High-Speed Selectors for Reduction in DAC Settling time Requirements
Haruki Shibue, Hideyuki Nosaka
Ritsumeikan Univ., Ritsumeikan Univ.
(01:50 - 02:10)
Abstract
IF1-35: Wearable Human Body Communication Channel Measurements in the Body Resonance Regime
Samyadip Sarkar, Qi Huang, Mayukh Nath, Shreyas Sen
Purdue Univ., Purdue Univ., Purdue Univ., Purdue Univ.
(02:10 - 02:30)
Abstract
IF1-36: 6.5 GHz Longitudinal Leaky SAW Filter Using LiNbO3-on-SiC Structure for Wi-Fi 7
Mijing Sun, Shibin Zhang, Pengcheng Zheng, Xiaoli Fang, Xin Ou
Univ. of Science and Technology of China, Shanghai Institute of Microsystem and Information Technology, Chinese Academy of Sciences, Shanghai Institute of Microsystem and Information Technology, Chinese Academy of Sciences, Shanghai Institute of Microsystem and Information Technology, Chinese Academy of Sciences, Shanghai Institute of Microsystem and Information Technology, Chinese Academy of Sciences
(02:30 - 02:50)
Abstract
IF1-37: System and Characterization Method for Controlled Microwave Heating in Medical Applications
Shreeniket Mansingrao Pawar, Benjamin Westhafer, Anilchandra Attaluri, Mohammad-Reza Tofighi
Pennsylvania State Univ., Pennsylvania State University, Harrisburg, Pennsylvania State University, Harrisburg, Penn State University, Harrisburg
(02:50 - 03:10)
Abstract
IF1-38: A 256–287 GHz Full 360° Hybrid-type Phase Shifter with Active SPDT Switches
Eunjung Kim, Sanggeun Jeon
Korea Univ., Korea Univ.
(03:10 - 03:30)
Abstract
IF1-39: A Low-Loss DC-to-300-GHz InP/Si Interconnection Based on Wafer Level Packaging Using Chip-first/Facedown Process
Yusuke Araki, Yuta Shiratori, Hiroshi Hamada, Miwa Muto, Ibrahim Abdo, Teruo Jyo, Fumito Nakajima
NTT Device Technology Laboratories, NTT Device Technology Laboratories, NTT Device Technology Laboratories, NTT Device Technology Laboratories, NTT Device Technology Laboratories, NTT Device Technology Laboratories, NTT Device Technology Laboratories
(03:30 - 03:50)
Abstract
IF1-40: Noise-Adaptive Auto-Encoder for Modulation Recognition of RF Signal
Jongseok Woo, KUCHUL JUNG, Saibal Mukhopadhyay
Georgia Institute of Technology, Georgia Institute of Technology, Georgia Institute of Technology
(03:50 - 04:10)
Abstract
IF1-41: Reconstruction of Arbitrarily Shaped Sources with Electromagnetic Time-Reversal and Kurtosis
Juan Li, Zhizhang Chen, Zhimeng Xu, Xiaoyao Feng, Jun Cai
Fuzhou Univ., Dalhousie University, Fuzhou Univ., Dalhousie University, Fuzhou Univ.
(04:10 - 04:30)
Abstract
IF1-42: 28 GHz GaAs pHEMT High-Efficiency Power Amplifier Using Multi-Section Transmission-Line Power Combining/Matching Technique
Yu-Shiuan Lai, Zi-Hao Fu, Jia-Wei Ye, Chan-Shin Wu, Kun-You Lin
National Taiwan Univ., National Taiwan Univ., National Taiwan Univ., Ultraband Technologies Inc, National Taiwan Univ.
(04:30 - 04:50)
Abstract
IF1-43: A 5.6 dB Noise-Figure X-band to W-band CMOS Frequency-Extender Receiver Frontend
Tal Elazar, Eran Socher
Tel-Aviv Univ., Tel-Aviv Univ.
(04:50 - 05:10)

-

Jose Rayas-Sanchez
ITESO - The Jesuit Univ. of Guadalajara
Marco Pirola
Politecnico di Torino
Location
145AB
Abstract

This session features a variety of contributions on the topics of
artificial neural networks, innovative knowledge transfer approaches, nonlinear behavioral modeling and design of power amplifiers and oscillators. This session offers insights into the latest trends in simulation and modeling, bridging theoretical concepts with practical applications.

Abstract
Th1B-1: A Novel Transfer Learning Approach for Efficient RF Device Behavior Model Parameter Extraction
Ruijin Wang, Jiangtao Su, Weiyu Xie, Mengmeng Xu, Lingling Sun
Hangzhou Dianzi University, HangZhou DianZi University, HangZhou DianZi University, HangZhou DianZi University, HangZhou DianZi University
(08:00 - 08:20)
Abstract
Th1B-2: Transfer Learning Framework for 3D Electromagnetic Structures
Oluwaseyi Akinwande, Sri Laxmi Ganna, Rahul Kumar, Madhavan Swaminathan
Georgia Institute of Technology, Pennsylvania State Univ., Pennsylvania State Univ., Pennsylvania State Univ.
(08:20 - 08:40)
Abstract
Th1B-3: Analysis of Two Wirelessly Locked Oscillators Based on Realistic Nonlinear Oscillator Models
Camilo Moncada Guayazan, Franco Ramirez, Almudena Suarez
Universidad de Cantabria, Universidad de Cantabria, Universidad de Cantabria
(08:40 - 09:00)
Abstract
Th1B-4: Automated mmWave Power Amplifier Design Flow and a 28-GHz Design Example in 45-nm CMOS SOI
Yaolong Hu, Xiaohan Zhang, Qiang Zhou, Fan Cai, Cindy Cui, Taiyun Chi
Rice Univ., Rice Univ., Rice Univ., Keysight Technologies, Keysight Technologies, Rice Univ.
(09:00 - 09:20)
Abstract
Th1B-5: Analysis and Modeling of Super-Regenerative Oscillators with FMCW Signals
Sergio Sancho, Mabel Ponton, Almudena Suarez
Universidad de Cantabria, Universidad de Cantabria, Universidad de Cantabria
(09:20 - 09:40)
Michael Roberg
mmTron, Inc.
Munkyo Seo
Sungkyunkwan Univ.
Location
146A
Abstract

This session focuses on mm-Wave power amplifiers operating between Ka-band and E-band. The first paper describes a GaN V/E-band distributed PA with greater than 1W output power. The second paper discusses a V-band GaN PA with low gain compression for use in communication systems. The third paper presents a Ka-band LNA and PA designed in silicon FinFET technology. The fourth paper describes a high linearity SiGe PA design using a novel balun and power combiner.

Abstract
Th1C-1: A 52-to-86GHz V-/E-band GaN Distributed combined Power Amplifier with Output Power Beyond 1W and 34GHz Bandwidth
Bharath kumar Cimbili, Mingquan Bao, Christian Friesicke, Sandrine Wagner, Ruediger Quay
Ericsson, Ericsson, Fraunhofer Institute for Applied Solid State Physics, Fraunhofer Institute for Applied Solid State Physics, Fraunhofer Institute for Applied Solid State Physics
(08:00 - 08:20)
Abstract
Th1C-2: V-Band GaN Power Amplifier MMICs with High Power-Bandwidth and Low Gain Compression for RF Inter-Satellite Links
Christian Friesicke, Friedbert van Raay, Sebastian Krause, Bharath Cimbili, Peter Brückner, Ruediger Quay, Alberto Colzani, Antonio Traversa, Alessandro Fonte
Fraunhofer Institute for Applied Solid State Physics, Fraunhofer Institute for Applied Solid State Physics, Fraunhofer Institute for Applied Solid State Physics, Fraunhofer Institute for Applied Solid State Physics, Fraunhofer Institute for Applied Solid State Physics, Fraunhofer Institute for Applied Solid State Physics, SIAE Microelettronica, SIAE Microelettronica, SIAE Microelettronica
(08:20 - 08:40)
Abstract
Th1C-3: Compact K/Ka-Band Frontend PA and >> LNA in 16nm FinFET for Next Generation Digitally Intensive Arrays?
Edward Liu, Boce Lin, Cho-Ying Lu, Hua Wang
ETH Zurich, ETH Zurich, Taiwan Semiconductor Manufacturing Co., Ltd., ETH Zurich
(08:40 - 09:00)
Abstract
Th1C-4: A 31-41-GHz SiGe Power Amplifier with Sandwiched-Coupler-Balun and Folded-T-Line Power Combiner Achieving 23.5-dBm/22.2-dBm Psat/OP1dB and Supporting 64-QAM Modulation
Kenan Xie, Rundi Wu, Keping Wang
Tianjin Univ., Tianjin Univ., Tianjin Univ.
(09:00 - 09:20)
Kavita Goverdhanam
US Army CCD-C5ISR
Sorin Voinigescu
Univ. of Toronto
Location
146B
Abstract

This session focuses on Qubit readout low noise cryogenic amplifiers and receivers manufactured in CMOS and SiGe BiCMOS technologies. The first paper discusses a novel GM boosted LNA topology. This is followed by a paper on the characterization of several CMOS inverter-based 28nm FDSOI LNAs. Next, 45nm BiCOMOS SiGe LNAs with record 2.6K minimum noise temperature are presented. The session ends with a SiGe BiCMOS IQ receiver for superconducting Qubit readout.

Abstract
Th1D-1: A Gm-Boosting Inductorless Noise-Canceling Low Noise Amplifier in 40-nm CMOS for Quantum Applications
Mahesh Kumar Chaubey, Yeke Liu, Yin-Cheng Chang, Po-Chang Wu, Hann-Huei Tsai, Shawn S. H. Hsu
National Tsing Hua Univ., National Tsing Hua Univ., Taiwan Semiconductor Research Institute, Taiwan Semiconductor Research Institute, Taiwan Semiconductor Research Institute, National Tsing Hua Univ.
(08:00 - 08:20)
Abstract
Th1D-2: Sub-10-GHz Cryo-CMOS LNAs Achieving Up to 0.07-dB Average NF Thanks to Back Biasing for Qubit Readout in 28-nm FD-SOI
Vincent PUYAL, Quentin BERLINGARD, Jose Lugo, Benjamin Blampey, Mikael CASSE, Didier BELOT
CEA-LETI, CEA-LETI, CEA-LETI, CEA-LETI, CEA-LETI, STMicroelectronics
(08:20 - 08:40)
Abstract
Th1D-3: A 1.6 mW Cryogenic SiGe LNA IC For Quantum Readout Applications Achieving 2.6 K Average Noise Temperature from 3–6 GHz
Zhenjie Zou, Sanjay Raman, Joseph Bardin
Univ. of Massachusetts, Amherst, Univ. of Massachusetts, Amherst, Univ. of Massachusetts, Amherst
(08:40 - 09:00)
Abstract
Th1D-4: A 6mW Cryogenic SiGe Receiver IC For High-Fidelity Qubit Readout
Randy Kwende, Dario Rosenstock, Chen Wang, Joseph Bardin
Univ. of Massachusetts, Amherst, Univ. of Massachusetts, Amherst, Univ. of Massachusetts, Amherst, Google
(09:00 - 09:20)
Zoya Popovic
Univ. of Colorado
Pawel Kopyt
Warsaw Univ. of Technology
Location
146C
Abstract

Advances in material sensing and characterization techniques from S to W frequency bands are presented. Instruments based on resonators, planar transmission lines, and free-space radar are discussed.

Th1E-1: KEYNOTE: Accurate Materials’ Testing as an Enabler for Microwave and Millimeter-Wave Industries
Malgorzata Celuch
QWED Sp. z o.o
(08:00 - 08:20)
Abstract
Th1E-2: A Novel Q-Choked Resonator for Microwave Material Measurements Alleviating Sample Thickness Limitations of Existing Techniques
Malgorzata Celuch, Marzena Olszewska-Placha, Lukasz Nowicki, Wojciech Gwarek
QWED Sp. z o.o., QWED Sp. z o.o, QWED Sp. z o.o, QWED Sp. z o.o.
(08:20 - 08:40)
Abstract
Th1E-3: Characterizing the Broadband RF Permittivity of 3D-Integrated Layers in a Glass Wafer Stack from 100 MHz to 30 GHz
Jacob Pawlik, Tomasz Karpisz, Nicholas Derimow, Sarah Evans, James Booth, Nathan Orloff, Christian Long, Angela Stelson
National Institute of Standards and Technology, National Institute of Standards and Technology, National Institute of Standards and Technology, National Institute of Standards and Technology, National Institute of Standards and Technology, National Institute of Standards and Technology, National Institute of Standards and Technology, National Institute of Standards and Technology
(08:40 - 09:00)
Abstract
Th1E-4: A Dielectric Permittivity Sensor Based on Inverted Microstrip/3D-Printing Hybrid Technology
Sofia Rustioni, Lorenzo Silvestri, Stefania Marconi, Gianluca Alaimo, Ferdinando Auricchio, Maurizio Bozzi
Univ. of Pavia, Univ. of Pavia, Univ. of Pavia, Univ. of Pavia, Univ. of Pavia, Univ. of Pavia
(09:00 - 09:20)
Abstract
Th1E-5: Radar-Based Smoke Detection at Millimeter Wave Frequencies: An Experimental Study
Francesca Schenkel, Thorsten Schultze, Christoph Baer, Ilona Rolfes, Christian Schulz
Ruhr Univ. Bochum, Univ. of Duisburg-Essen, Ruhr Univ. Bochum, Ruhr Univ. Bochum, Ruhr Univ. Bochum
(09:20 - 09:40)
Alexander Koelpin
Hamburg Univ. of Technology
Davi Rodrigues
Univ.of Texas at El Paso
Location
147AB
Abstract

Remote sensing of human vital signs such as heartbeat or respiratory rate will become increasingly important in an aging society. This session will present interesting radar concepts tailored to these tasks by discriminating the vibrations on the body surface from random body movements to the respective vital signs under monitoring. All papers will present ideas that enable hardware structures with reduced complexity compared to conventional approaches. The session will focus on the specific system and hardware aspects of self-injection locking radar. In addition, a sophisticated proposal for metamaterials for space-time encoding will also be presented.

Abstract
Th1F-1: Displacement Monitoring Using a Four-Channel Phase- and Quadrature Self-Injection-Locked (PQSIL) Radar With Channel Compression Demodulation (CCD) for Sensitivity Improvement
Ji-Xun Zhong, Ju-Yin Shih, Fu-Kang Wang
National Sun Yat-sen Univ., National Sun Yat-sen Univ., National Sun Yat-sen Univ.
(08:00 - 08:20)
Abstract
Th1F-2: Wavelet- and Cosine-Transform-Based Super-Resolution Algorithm (WCT-SRA) for Radar-Based Multi-Person Vital Sign Monitoring
Ju-Yin Shih, Ji-Xun Zhong, Yu-Jen Chu, Fu-Kang Wang
National Sun Yat-sen Univ., National Sun Yat-sen Univ., National Sun Yat-sen Univ., National Sun Yat-sen Univ.
(08:20 - 08:40)
Abstract
Th1F-3: A Low-Power Low-Latency 84.5-GHz GaAs pHEMT Self-Injection-Locked Radar with Integrated Frequency Differentiator for Vital Sign Detection
Donglin Gao, Shuping Li, Minning Zhu, Austin Chen, Chung-Tse Michael Wu
Rutgers Univ., Rutgers Univ., Rutgers Univ., California State Univ., Northridge, Rutgers Univ.
(08:40 - 09:00)
Abstract
Th1F-4: Spectrum-Efficient Multi-target Vital Sign Monitoring Using Metamaterial-Integrated Space-Time-Coding Transmitting Array
Shuping Li, Donglin Gao, Shaghayegh Vosoughitabar, Chung-Tse Michael Wu
Rutgers Univ., Rutgers Univ., Rutgers Univ., Rutgers Univ.
(09:00 - 09:20)
Marcus Da Silva
National Instruments
Marco Spirito
Delft Univ. of Technology
Location
150AB
Abstract

This joint IMS/ARFTG session will take you through advances in the field of OTA characterization. The papers range from quasi-optical techniques to mitigation of alignment errors, built-in test for EIRP and electromagnetic jet imaging.

Th1G-1: KEYNOTE: Electro-Optic Mapping Techniques for Characterization of Microwave Circuits, Devices and Antenna Systems
Kazem Sabet
EM Research, Inc.
(08:00 - 08:20)
Abstract
Th1G-2: A Near-Field Quasi-Optical Measurement Technique for Probe-Fed High-Gain Backside-Radiating Antennas
Nick van Rooijen, Marco Spirito, Alexandros Bechrakis Triantafyllos, Nuria Llombart, Maria Alonso-delPino
Delft Univ. of Technology, Delft Univ. of Technology, Delft Univ. of Technology, Delft Univ. of Technology, Delft Univ. of Technology
(08:20 - 08:40)
Abstract
Th1G-3: Load-Impedance-Aware EIRP Calibration in FR2 Phased Arrays
Viduneth Ariyarathna, Wan Jong Kim, Pranav Dayal, Venumadhav Bhagavatula, Ivan Lu, Chinh Doan
Samsung Semiconductor, Inc., Samsung Semiconductor, Inc., Samsung Semiconductor, Inc., Samsung Semiconductor, Inc., Samsung Semiconductor, Inc., Samsung Semiconductor, Inc.
(08:40 - 09:00)
Abstract
Th1G-4: Toward Free Space Local Characterization Method in Microwave
Mathis Granger, Ali Ghaddar, Bernard Bayard, Bruno Sauviac
Université Jean Monnet, Université Jean Monnet, Université Jean Monnet, Université Jean Monnet
(09:00 - 09:20)
Abstract
Th1G-5: Simplifying Polarization Alignment in Modulated Antenna Measurements
Gerardo Orozco, Thomas Deckert, Nan Yang
National Instruments, National Instruments, National Instruments
(09:20 - 09:30)
Nizar Messaoudi
Keysight Technologies
Najme Ebrahimi
Northeastern University
Location
151AB
Abstract

This session showcases five papers in the area of reconfigurable intelligent surfaces (RIS), antennas, and beamformers. The first paper presents an 8-GHz 32x32-element RIS to enhance non-line-of-sight (NLOS) communications. The second paper introduces a 16x16 RIS array at 28 GHz, adopting a phase randomization technique introduced to suppress lobes. The third paper presents a 11-port wire antenna capable of beam steering from 5-18 GHz. The fourth paper explores a space-time-modulated metamaterial antenna, functioning as a beam-space multiple-input multiple-output (MIMO) receiver. The last paper presents a fully-passive, 4-channel RF beamformer at 12 GHz for power-constrained applications.

Abstract
Th1H-1: Scalable 32 x 32 1-Bit Reconfigurable Intelligent Surfaces for Upper-Mid Band 6G Communications
Sungeun Kim, Hongseok Choi, Byung-Wook Min
Yonsei Univ., Yonsei Univ., Yonsei Univ.
(08:00 - 08:20)
Abstract
Th1H-2: A Scalable, Binary Phase, Millimeter-Wave Reconfigurable Intelligent Surface
Aditya Shekhawat, Bharath Kashyap, Russell Raldiris Torres, Georgios Trichopoulos
Arizona State Univ., Arizona State Univ., Arizona State Univ., Arizona State Univ.
(08:20 - 08:40)
Abstract
Th1H-3: A Wideband Configurable Multi-Port Wire Antenna
Sina Rezaeeahvanouee, Yahya Tousi
Univ. of Minnesota, Twin Cities, Univ. of Minnesota
(08:40 - 09:00)
Abstract
Th1H-4: Spatial-Spectral Mapping Beamspace MIMO Receiver Enabled by A Programmable Space-Time-Modulated Metamaterial Antenna
Shaghayegh Vosoughitabar, Alireza Nooraiepour, Waheed Bajwa, Narayan Mandayam, Chung-Tse Michael Wu
Rutgers Univ., Qualcomm Technologies, Inc., Rutgers Univ., Rutgers Univ., Rutgers Univ.
(09:00 - 09:20)
Abstract
Th1H-5: A Fully-Passive 4-Channel RF Beamformer with Ultra-Low Insertion Loss at 12GHz X/Ku-band for Low-Power Applications in 28nm CMOS
Matthew Anderson, Sashank Krishnamurthy, Ali Niknejad, Jan Rabaey
Univ. of California, Berkeley, Univ. of California, Berkeley, Univ. of California, Berkeley, Univ. of California, Berkeley
(09:20 - 09:40)
Shahed Reza
Sandia National Laboratories
Ko-Tao Lee
QORVO, Inc.
Location
152AB
Abstract

This session features discussions of advances in process technology for III-V on Si HBTs, low-loss SOI substrate processing, and GaN-on-Silicon power devices.

Th1I-1: KEYNOTE: Ultra-wide bandgap devices for RF-mm-wave applications
Joe Qiu
Army Research Office
(08:00 - 08:20)
Abstract
Th1I-2: An adaptable In(Ga)P/Ga(Sb)As/Ga(In)As HBT technology on 300 mm Si for RF applications
Annie Kumar, Sachin Yadav, Abhitosh Vais, Guillaume Boccardi, Yves Mols, Reynald Alcotte, Bertrand Parvais, Bernardette Kunert, Nadine Collaert
IMEC, IMEC, IMEC, IMEC, IMEC, IMEC, IMEC, IMEC, IMEC
(08:20 - 08:40)
Abstract
Th1I-3: Local Interface RF Passivation Layer Based on Helium Ion-Implantation in High-Resistivity Silicon Substrates
Martin Perrosé, Pablo acosta alba, Shay Reboh, Jose Lugo, Christophe Plantier, Pieter Cardinael, Martin Rack, Frederic Allibert, Frederic Milesi, Xavier Garros, Jean-Pierre Raskin
CEA-LETI, Univ. Grenoble Alpes - CEA, LETI, CEA-LETI, CEA-LETI, CEA-LETI, Université Catholique de Louvain, Université Catholique de Louvain, Soitec, CEA-LETI, CEA-LETI, Universite catholique de Louvain
(08:40 - 09:00)
Abstract
Th1I-4: Large-Signal Characterisation and Analysis of AlN/GaN MISHEMTs on Si with a PAE > 62% at 28 GHz
Rana ElKashlan, Sachin Yadav, Ahmad Khaled, DONGPING XIAO, Babak Kazemi, Hao Yu, AliReza Alian, Uthayasankaran Peralagu, Nadine Collaert, Bertrand Parvais
IMEC, IMEC, IMEC, IMEC, IMEC, IMEC, IMEC, IMEC, IMEC, IMEC
(09:00 - 09:20)

-

Frederick Raab
Green Mountain Radio Research LLC.
Marc Franco
Macom
Location
145AB
Abstract

This session starts with a keynote presentation on an HF through UHF transceiver overview before moving to a presentation on a reconfigurable low pass filter. The session continues with a high isolation CMOS switch, a GaN supply modulator and finishes with a keynote presentation on analog predistortion applied to the HF through UHF frequency range.

Th2B-1: KEYNOTE: Modern HF/VHF/UHF transceivers and their applications
Ulrich Rohde
University of the Joint Forces
(10:10 - 10:30)
Abstract
Th2B-2: A 0.1–3.2 GHz Reconfigurable LPF With Peaking Reducing and Selectivity Enhancement Using Adaptive Impedance Transformation
Xu Cheng, Yun-Bo Rao, Xian-Hu Luo, Liang Zhang, Jiang-An Han, Rui Wu, Hai-Bo Tang, Xing-Dong Liang, Xian-Jin Deng, Hao Gao
Microsystems&Terahertz Research Center, CAEP, University of Electronic Science and Technology of, Microsystems&Terahertz Research Center, CAEP, Microsystems&Terahertz Research Center, CAEP, Microsystems&Terahertz Research Center, CAEP, Aerospace Information Research Institue, CAS, Chinese Academy of Sciences, Chinese Academy of Sciences, Microsystems&Terahertz Research Center, CAEP, Technische Univ. Eindhoven
(10:30 - 10:50)
Abstract
Th2B-3: High Isolation CMOS TDD RF Front-End using Sandwich-type Concentric Vortical Transformer and Leakage Elimination Technique
Shih-Hsuan Tsai, Shou-Jen Yang, Zhen-Ting Zhao, Hao-Shun Yang
National Taipei Univ. of Technology, National Taipei Univ. of Technology, National Taipei Univ. of Technology, National Taipei Univ. of Technology
(10:50 - 11:10)
Abstract
Th2B-4: A Monolithic GaN based Supply Modulator with Dual-Antibootstrap Level Shifter for Envelope Tracking Application
Chenhao Li, Qingyang Dong, Xin Jiang, Xinyu Liu, Ke Wei, Weijun Luo
Institute of Microelectronics, Institute of Microelectronics, Institute of Microelectronics, Institute of Microelectronics, Institute of Microelectronics, Institute of Microelectronics
(11:10 - 11:30)
Th2B-5: KEYNOTE: Understanding Linearization and Its Recent Developments
Allen Katz
Macom
(11:30 - 11:50)
David Brown
BAE Systems
Sensen Li
Univ. of Texas at Austin
Location
146A
Abstract

This session focuses on III-V and silicon power amplifiers (PAs) targeting D-band applications and beyond. It will start with a benchmark design of high-efficiency PAs in 250nm and 130nm InP HBT technologies at 220GHz, followed by a low-noise PA for the WR4.3 and WR3.4 bands in a 35nm InGaAs mHEMT technology. The next presentation from the session will talk about another InGaAs mHEMT PA covering 270-320GHz in a compact footprint. Next, analysis and design of a differential complex neutralization will be discussed, based on which a PA at D-band is implemented for efficient and linear applications. The session will be concluded with a presentation on a 10-230GHz InP distributed amplifier using Darlington quadruple-stacked HBTs.

Abstract
Th2C-1: 220-GHz High-Efficiency Power Amplifiers in 250-nm and 130-nm InP HBT Technologies having 14.4-25.0% PAE and 40-60 mW P,out
Zach Griffith, Miguel Urteaga, Petra Rowell
Teledyne Scientific, Teledyne Scientific Company, Teledyne Scientific Company
(10:10 - 10:30)
Abstract
Th2C-2: Low-Noise Power-Amplifier MMICs for the WR4.3 and WR3.4 Bands in a 35-nm Gate-Length InGaAs mHEMT Technology
Fabian Thome, Arnulf Leuther
Fraunhofer IAF, Fraunhofer IAF
(10:30 - 10:50)
Abstract
Th2C-3: Highly-Compact 20-mW, 270--320-GHz InGaAs mHEMT Power Amplifier MMIC
Laurenz John, Axel Tessmann, Sandrine Wagner, Arnulf Leuther
Fraunhofer IAF, Fraunhofer IAF, Fraunhofer IAF, Fraunhofer IAF
(10:50 - 11:10)
Abstract
Th2C-4: Analysis and Design of Differential Complex Neutralization Power Amplifiers for Efficient-Yet-Linear High Mm-Wave Applications
Mohamed Eleraky, Tzu-Yuan Huang, Yuqi Liu, Hua Wang
Swiss Federal Institute of Technology, Georgia Institute of Technology, ETH Zurich, Swiss Federal Institute of Technology
(11:10 - 11:30)
Abstract
Th2C-5: A 10-230-GHz InP Distributed Amplifier Using Darlington Quadruple-Stacked HBTs
Phat Nguyen, Natalie Wagner, Alexander Stameroff, Anh-Vu Pham
Univ. of California, Davis, Keysight Technologies, Keysight Technologies, Univ. of California, Davis
(11:30 - 11:50)
Rod Kim
Stevens Institute of Technology
Dimitris Pavlidis
Florida International Univ.
Location
146B
Abstract

The session introduces recent advances in quantum technologies. The first paper presents a planar graphene/ferroelectric FET for generating a pyroelectric current from microwave power. The second shows a driver module for the control of a single transmon Qubit in the 4-10 GHz frequency range. The third paper deals with a four-winding transformer-based capacitor assisted QVCO, operating in 55-nm CMOS process, to reduce the flicker noise. The final paper introduces a technique for implementing a frequency-doubler in NbTiN on silicon for operation in a cryogenic environment.

Abstract
Th2D-1: Demonstration of microwave harvesting through pyroelectricity in cryogenic conditions: a quantum-to-experimental approach
Martino Aldrigo, Mircea Dragoman, Adrian Dinescu, Dan Vasilache, Sergiu Iordanescu, Livia Alexandra Dinu, Daniela Dragoman, Emiliano Laudadio, Eleonora Pavoni, LUCA PIERANTONI, Davide Mencarelli
IMT Bucharest, IMT Bucharest, IMT Bucharest, IMT - Bucharest, IMT Bucharest, IMT Bucharest, University of Bucharest, Physics Faculty, Univ. Politecnica delle Marche, Univ. Politecnica delle Marche, Univ. Politecnica delle Marche, Univ. Politecnica delle Marche
(10:10 - 10:30)
Abstract
Th2D-2: A 4 to 10 GHz 11-mW Cryogenic Driver Module Design for Quantum Computer Application
Che-Hao Li, Chien-Nan Kuo, Chang-Sheng Chen, Chii-Dong Chen, Po-Yuan Hsu, Li-Chieh Hsiao, Li-Wei Chang
Industrial Technology Research Institute, National Yang Ming Chiao Tung Univ., Industrial Technology Research Institute, Academia Sinica, Industrial Technology Research Institute, Academia Sinica, Academia Sinica
(10:30 - 10:50)
Abstract
Th2D-3: A Sub mW Low Flick Noise Cryo-CMOS QVCO for Quantum Computing Application
Chenglong Liang, Ya Zhao, Zhuoqi Guo, Zixun Gao, Bingjun Tang, Chao Fan, Youze Xin, Li Geng
Xi'an Jiaotong Univ., Xi'an Jiaotong Univ., Xi'an Jiaotong Univ., Xi'an Jiaotong Univ., Xi'an Jiaotong Univ., Xi'an Jiaotong Univ., Xi'an Jiaotong Univ., Xi'an Jiaotong Univ.
(10:50 - 11:10)
Abstract
Th2D-4: Characterizing a Frequency Converter Based on a Superconducting Coplanar Waveguide
Grant Giesbrecht, Nathan Flowers-Jacobs, Adam Sirois, Manuel Castellanos-Beltran, Michael Vissers, Jiansong Gao, Paul Dresselhaus, Taylor Barton
Univ. of Colorado, National Institute of Standards and Technology, National Institute of Standards and Technology, National Institute of Standards and Technology, National Institute of Standards and Technology, Amazon Web Services, Inc., National Institute of Standards and Technology, Univ. of Colorado
(11:10 - 11:30)
Kamel Haddadi
Univ. of Lille
Malgorzata Celuch
QWED Sp. z o.o
Location
146C
Abstract

This session covers wave-material interactions ranging from microwave microscopy to high-power density plasma generation. Near-field microscopy for high-resolution material characterization at room and cryogenic temperatures is shown using frequencies from 2 to 12 GHz. Additionally, resonator-based field enhancement is shown for low-power plasma generation in the 2.45 GHz ISM band.

Abstract
Th2E-1: Scanning Microwave Microscopy Subsurface Detection of Magneto-impedance Effect in Thin Film Permalloy
Gianluca Fabi, Maxwell Sparey, Michael Leitner, Antonia Silvestri, Ivan Alic, Verena Ney, Andreas Ney, Marco Farina, Georg Gramse
Johannes Kepler Univ. Linz, Johannes Kepler Univ. Linz, Johannes Kepler Univ. Linz, Johannes Kepler Univ. Linz, Johannes Kepler Univ. Linz, Johannes Kepler Univ. Linz, Johannes Kepler Univ. Linz, Univ. Politecnica delle Marche, Johannes Kepler Univ. Linz
(10:10 - 10:30)
Abstract
Th2E-2: Advanced microwave impedance microscopy for emerging materials and devices
Junyi Shan, Nathaniel Morrison, Eric Ma
Univ. of California, Berkeley, Univ. of California, Berkeley, Univ. of California, Berkeley
(10:30 - 10:50)
Abstract
Th2E-3: Near-Field Nonlinear Microwave Microscope for Fundamental Superconducting Studies
Chung-Yang Wang, Steven Anlage
Univ. of Maryland, College Park, Univ. of Maryland, College Park
(10:50 - 11:10)
Abstract
Th2E-5: A 3-D Split Ring Resonator for Power-Efficient Microwave Plasma Jets
Mohammadali Parsaei, Muhammad Rizwan Akram, Abbas Semnani
Univ. of Toledo, Univ. of Toledo, Univ. of Toledo
(11:10 - 11:20)
Abstract
Th2E-6: A Highly-Efficient 2.45 GHz Plasma Jet Based on A Dielectric Microwave Anapole Structure
Muhammad Rizwan Akram, Abbas Semnani
Univ. of Toledo, Univ. of Toledo
(11:20 - 11:40)
Christian Damm
Ulm Univ.
Chung-Tse Michael Wu
Rutgers Univ.
Location
147AB
Abstract

This session is focusing on advancements in microwave biomedical applications. It will notably present innovative orientations of MRI systems featuring STAR using wearable RF transceiver and investigating MR safety with active implantable medical devices. Moreover, an in-body microwave thermometry concept will be discussed with a focus on RF interference cancellation. Finally, the electroporation effect will be explored in combination with microwave biosensing.

Abstract
Th2F-1: Towards Ultra-Low RF Power Simultaneous Transmit and Receive(STAR) MRI with a Wearable RF Transceiver Head Coil
Zachary Colwell, Sri Kirthi Kandala, Lance DelaBarre, Djaudat Idiyatullin, Gregor Adriany, Michael Garwood, John Vaughan, Sung-Min Sohn
Arizona State Univ., Arizona State Univ., Univ. of Minnesota, Univ. of Minnesota, Univ. of Minnesota, Univ. of Minnesota, Columbia Univ., Arizona State Univ.
(10:10 - 10:30)
Abstract
Th2F-2: Combined Gradient and RF Injection Tests for MR Safety
Farshad Ebrahimi, Qingyan Wang, Jianfeng Zheng, Ji Chen
Univ. of Houston, Univ. of Houston, Univ. of Houston, Univ. of Houston
(10:30 - 10:50)
Abstract
Th2F-3: Extension to In Situ Single Cell Electroporation of a Microwave Biosensor
Anne Calvel, Olivia Peytral-Rieu, Marie-Pierre Rols, David Dubuc, Katia Grenier
LAAS-CNRS, LAAS-CNRS, IPBS-CNRS, LAAS-CNRS, LAAS-CNRS
(10:50 - 11:10)
Abstract
Th2F-4: RF Interference Cancellation for Microwave Thermometry
Joseph Dunbar, Gabriel Santamaria Botello, Zoya Popovic
Univ. of Colorado, Colorado School of Mines, Univ. of Colorado
(11:10 - 11:30)
Shuhei Amakawa
Hiroshima Univ.
Ricardo Figueiredo
Instituto De Telecomunicacoes
Location
150AB
Abstract

This joint IMS/ARFTG session presents a number of exciting developments in mm-wave measurement, including new observations in on-wafer calibrations and improvements in mm-wave noise characterization. The session concludes in a novel wideband measurement approach for higher-rate modulated analysis.

Th2G-1: KEYNOTE: Current limitations and novel approaches to THz on-wafer electronic characterization
Jerome Cheron
National Institute of Standards and Technology
(10:10 - 10:30)
Abstract
Th2G-2: On-Wafer Calibration Comparisons of Multiline TRL with Platinum and Gold Conductors
Tomasz Karpisz, Jacob Pawlik, Johannes Hoffmann, Sarah Evans, Christian Long, Nathan Orloff, James Booth, Angela Stelson
National Institute of Standards and Technology, National Institute of Standards and Technology, Swiss Federal Institute of Technology, National Institute of Standards and Technology, National Institute of Standards and Technology, National Institute of Standards and Technology, National Institute of Standards and Technology, National Institute of Standards and Technology
(10:30 - 10:50)
Abstract
Th2G-3: Enhanced Accuracy in On-Wafer Noise Figure Measurements at Sub-Terahertz Frequencies
Nizar Messaoudi, Shengjie Gao, Muhammad Waleed Mansha, Yves Baeyens, Mustafa Sayginer, Slim Boumaiza, Bryan Hosein, Shahriar Shahramian
Keysight Technologies, Focus Microwaves Inc., Nokia-Bell Labs, Nokia-Bell Labs, Nokia-Bell Labs, Univ. of Waterloo, Focus Microwaves Inc., Nokia-Bell Labs
(10:50 - 11:10)
Abstract
Th2G-4: Measurement of residual phase noise of amplifiers at 80 GHz using interferometric measurement technique
Wolfgang Wendler, Alexander Roth
Rohde & Schwarz GmbH & Co KG, Rohde & Schwarz
(11:10 - 11:30)
Abstract
Th2G-5: Millimeter-wave Device Characterization Under Wideband Modulated Signals using Vector Network Analyzer Frequency Extenders
Ahmed Ben Ayed, Patrick Mitran, Slim Boumaiza
Univ. of Waterloo, Univ. of Waterloo, Univ. of Waterloo
(11:30 - 11:50)
Kenneth E. Kolodziej
Massachusetts Institute of Technology, Lincoln Laboratory
Marcus Pan
Semiconductor Research Corp.
Location
151AB
Abstract

This session is comprised of papers that present advanced circuits and techniques for next-generation wireless systems. Discussion topics include active calibration for MIMO transmitters, a load-modulated balanced amplifier with an antenna interface, an analog self-interference canceller that mitigates tap loss, an integrated full-duplex front end at 75-100 GHz, and a 130 GHz OOK-doubler circuit heterogeneously integrated with a glass antenna for scalable array systems.

Abstract
Th2H-1: Active Calibration Approach Addressing Antenna Mutual Coupling and Power Amplifier Output Mismatch in Fully Digital MIMO Transmitters
Hoda Barkhordar-pour, Jin Gyu Lim, Ahmed Ben Ayed, Patrick Mitran, Slim Boumaiza
Univ. of Waterloo, Univ. of Waterloo, Univ. of Waterloo, Univ. of Waterloo, Univ. of Waterloo
(10:10 - 10:30)
Abstract
Th2H-2: Indirectly-Non-Reciprocal Load Modulated Balanced Amplifier with Equivalent Operation at Antenna Interface
Niteesh Bharadwaj Vangipurapu, Pingzhu Gong, Jiachen Guo, Kenle Chen
Univ. of Central Florida, Univ. of Central Florida, Univ. of Central Florida, Univ. of Central Florida
(10:30 - 10:50)
Abstract
Th2H-3: An Efficient Analog Self-Interference Canceller using a Balanced Topology for Mitigating Inherent Multi-Tap Loss
Kamal Bhakta, Jean Paul Santos, Mohammadali Panahi, Maziar Hedayati, Lap Yeung, Yuanxun (Ethan) Wang
Naval Air Warfare Center Point Mugu Division, Naval Air Warfare Center Point Mugu Division, Univ. of California, Los Angeles, Univ. of California, Los Angeles, Univ. of California, Los Angeles, Univ. of California, Los Angeles
(10:50 - 11:10)
Abstract
Th2H-4: Integrated 75-100 GHz In-Band Full-Duplex Front End GaN MMIC
Seth Johannes, Anthony Romano, Zoya Popovic
Univ. of Colorado, Univ. of Colorado, Univ. of Colorado
(11:10 - 11:30)
Abstract
Th2H-5: A Compact 130 GHz CMOS OOK-Doubler with Embedded 10 Gb/s Modulator and Integrated Glass Antenna for Scalable Array Systems and Efficient Short-Range Communication
Shah Zaib Aslam, Hao YAN, Meysam Asghari, Najme Ebrahimi
Univ. of Florida, Univ. of Florida, Univ. of Florida, Northeastern University
(11:30 - 11:50)
Wolfram Stiebler
Raytheon Company
Peter Magnee
NXP Semiconductors
Location
152AB
Abstract

This session includes papers featuring heterogeneous integration of N-polar GaN HEMTs with Si interposers at Ka band, high-power density Ka-band GaN MIS-HEMTs, and thermal characterization and modeling of coupling effects in GaN-based MMICs.

Th2I-1: KEYNOTE: GaN for infrastructure networks
Fred van Rijs
Ampleon
(10:10 - 10:30)
Abstract
Th2I-2: A Heterogeneously Integrated Ka-Band, N-Polar Gallium Nitride HEMT Amplifier
Justin Kim, Michael Hodge, Mark Soler, Florian Herrault, Daniel Green, James Buckwalter
PseudolithIC, Inc., Pseudolithic, Inc., PseudolithIC, Inc., PseudolithIC, Inc., PseudolithIC, Inc., PseudolithIC, Inc.
(10:30 - 10:50)
Abstract
Th2I-3: Ka band GaN MIS-HEMT with ALD-SiN gate dielectric and Lp-SiN passivation layer
Ke Wei, Yichuan Zhang, sheng Zhang, kaiyu wang, Jiaqi Guo, weijun Luo, haibo Yin, xinghua Wang, Xinyu Liu
university of chinese academy of science, Chinese Academy of Sciences, Chinese Academy of Sciences, Univ. of Chinese Academy of Sciences, Univ. of Chinese Academy of Sciences, Chinese Academy of Sciences, Chinese Academy of Sciences, Chinese Academy of Sciences, Chinese Academy of Sciences
(10:50 - 11:10)
Abstract
Th2I-4: Characterization and Modeling of Dynamic Thermal Coupling in GaN MMIC Power Amplifiers
Tobias Kristensen, Torbjörn Nilsson, Andreas Divinyi, Johan Bremer, Mattias Thorsell
Chalmers Univ. of Technology, Saab AB, Saab AB, Chalmers Univ. of Technology, Chalmers Univ. of Technology
(11:10 - 11:30)